use register-based DepCell
[soc.git] / src / experiment / compalu.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Signal, Elaboratable
4
5 from nmutil.latch import SRLatch, latchregister
6
7
8 class ComputationUnitNoDelay(Elaboratable):
9 def __init__(self, rwid, opwid, alu):
10 self.rwid = rwid
11 self.alu = alu
12
13 self.counter = Signal(3)
14 self.go_rd_i = Signal(reset_less=True) # go read in
15 self.go_wr_i = Signal(reset_less=True) # go write in
16 self.issue_i = Signal(reset_less=True) # fn issue in
17
18 self.oper_i = Signal(opwid, reset_less=True) # opcode in
19 self.src1_i = Signal(rwid, reset_less=True) # oper1 in
20 self.src2_i = Signal(rwid, reset_less=True) # oper2 in
21
22 self.busy_o = Signal(reset_less=True) # fn busy out
23 self.data_o = Signal(rwid, reset_less=True) # Dest out
24 self.req_rel_o = Signal(reset_less=True) # release request out (valid_o)
25
26 def elaborate(self, platform):
27 m = Module()
28 m.submodules.alu = self.alu
29 m.submodules.src_l = src_l = SRLatch(sync=False)
30 m.submodules.opc_l = opc_l = SRLatch(sync=False)
31 m.submodules.req_l = req_l = SRLatch(sync=False)
32
33 # This is fascinating and very important to observe that this
34 # is in effect a "3-way revolving door". At no time may all 3
35 # latches be set at the same time.
36
37 # opcode latch (not using go_rd_i) - inverted so that busy resets to 0
38 m.d.comb += opc_l.s.eq(self.issue_i) # XXX NOTE: INVERTED FROM book!
39 m.d.comb += opc_l.r.eq(self.go_wr_i) # XXX NOTE: INVERTED FROM book!
40
41 # src operand latch (not using go_wr_i)
42 m.d.comb += src_l.s.eq(self.issue_i)
43 m.d.comb += src_l.r.eq(self.go_rd_i)
44
45 # dest operand latch (not using issue_i)
46 m.d.comb += req_l.s.eq(self.go_rd_i)
47 m.d.comb += req_l.r.eq(self.go_wr_i)
48
49 # XXX
50 # XXX NOTE: sync on req_rel_o and data_o due to simulation lock-up
51 # XXX
52
53 # outputs
54 m.d.comb += self.busy_o.eq(opc_l.q) # busy out
55
56 with m.If(req_l.qn & opc_l.q & (self.counter == 0)):
57 m.d.sync += self.counter.eq(3)
58 with m.If(self.counter > 0):
59 m.d.sync += self.counter.eq(self.counter - 1)
60 with m.If((self.counter == 1) | (self.counter == 0)):
61 m.d.comb += self.req_rel_o.eq(req_l.q & opc_l.q) # req release out
62
63 # create a latch/register for src1/src2
64 latchregister(m, self.src1_i, self.alu.a, src_l.q)
65 latchregister(m, self.src2_i, self.alu.b, src_l.q)
66 #with m.If(src_l.qn):
67 # m.d.comb += self.alu.op.eq(self.oper_i)
68
69 # create a latch/register for the operand
70 latchregister(m, self.oper_i, self.alu.op, src_l.q)
71
72 # and one for the output from the ALU
73 data_o = Signal(self.rwid, reset_less=True) # Dest register
74 latchregister(m, self.alu.o, data_o, req_l.q)
75
76 with m.If(self.go_wr_i):
77 m.d.comb += self.data_o.eq(data_o)
78
79 return m
80
81 def scoreboard_sim(dut):
82 yield dut.dest_i.eq(1)
83 yield dut.issue_i.eq(1)
84 yield
85 yield dut.issue_i.eq(0)
86 yield
87 yield dut.src1_i.eq(1)
88 yield dut.issue_i.eq(1)
89 yield
90 yield
91 yield
92 yield dut.issue_i.eq(0)
93 yield
94 yield dut.go_read_i.eq(1)
95 yield
96 yield dut.go_read_i.eq(0)
97 yield
98 yield dut.go_write_i.eq(1)
99 yield
100 yield dut.go_write_i.eq(0)
101 yield
102
103 def test_scoreboard():
104 dut = Scoreboard(32, 8)
105 vl = rtlil.convert(dut, ports=dut.ports())
106 with open("test_scoreboard.il", "w") as f:
107 f.write(vl)
108
109 run_simulation(dut, scoreboard_sim(dut), vcd_name='test_scoreboard.vcd')
110
111 if __name__ == '__main__':
112 test_scoreboard()