link function units back in to score6600
[soc.git] / src / experiment / score6600.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Const, Signal, Array, Cat, Elaboratable
4
5 from regfile.regfile import RegFileArray, treereduce
6 from scoreboard.fn_unit import IntFnUnit, FPFnUnit, LDFnUnit, STFnUnit
7 from scoreboard.fu_fu_matrix import FUFUDepMatrix
8 from scoreboard.fu_reg_matrix import FURegDepMatrix
9 from scoreboard.global_pending import GlobalPending
10 from scoreboard.group_picker import GroupPicker
11 from scoreboard.issue_unit import IntFPIssueUnit, RegDecode
12
13 from compalu import ComputationUnitNoDelay
14
15 from alu_hier import ALU
16 from nmutil.latch import SRLatch
17
18 from random import randint
19
20
21 class Scoreboard(Elaboratable):
22 def __init__(self, rwid, n_regs):
23 """ Inputs:
24
25 * :rwid: bit width of register file(s) - both FP and INT
26 * :n_regs: depth of register file(s) - number of FP and INT regs
27 """
28 self.rwid = rwid
29 self.n_regs = n_regs
30
31 # Register Files
32 self.intregs = RegFileArray(rwid, n_regs)
33 self.fpregs = RegFileArray(rwid, n_regs)
34
35 # inputs
36 self.int_store_i = Signal(reset_less=True) # instruction is a store
37 self.int_dest_i = Signal(max=n_regs, reset_less=True) # Dest R# in
38 self.int_src1_i = Signal(max=n_regs, reset_less=True) # oper1 R# in
39 self.int_src2_i = Signal(max=n_regs, reset_less=True) # oper2 R# in
40
41 self.issue_o = Signal(reset_less=True) # instruction was accepted
42
43 def elaborate(self, platform):
44 m = Module()
45
46 m.submodules.intregs = self.intregs
47 m.submodules.fpregs = self.fpregs
48
49 # register ports
50 int_dest = self.intregs.write_port("dest")
51 int_src1 = self.intregs.read_port("src1")
52 int_src2 = self.intregs.read_port("src2")
53
54 fp_dest = self.fpregs.write_port("dest")
55 fp_src1 = self.fpregs.read_port("src1")
56 fp_src2 = self.fpregs.read_port("src2")
57
58 # Int ALUs
59 add = ALU(self.rwid)
60 sub = ALU(self.rwid)
61 m.submodules.comp1 = comp1 = ComputationUnitNoDelay(self.rwid, 1, add)
62 m.submodules.comp2 = comp2 = ComputationUnitNoDelay(self.rwid, 1, sub)
63 int_alus = [comp1, comp2]
64
65 m.d.comb += comp1.oper_i.eq(Const(0)) # temporary/experiment: op=add
66 m.d.comb += comp2.oper_i.eq(Const(1)) # temporary/experiment: op=sub
67
68 # Int FUs
69 if_l = []
70 int_src1_pend_v = []
71 int_src2_pend_v = []
72 int_rd_pend_v = []
73 int_wr_pend_v = []
74 for i, a in enumerate(int_alus):
75 # set up Integer Function Unit, add to module (and python list)
76 fu = IntFnUnit(self.n_regs, shadow_wid=0)
77 setattr(m.submodules, "intfu%d" % i, fu)
78 if_l.append(fu)
79 # collate the read/write pending vectors (to go into global pending)
80 int_src1_pend_v.append(fu.src1_pend_o)
81 int_src2_pend_v.append(fu.src2_pend_o)
82 int_rd_pend_v.append(fu.int_rd_pend_o)
83 int_wr_pend_v.append(fu.int_wr_pend_o)
84 int_fus = Array(if_l)
85
86 # Count of number of FUs
87 n_int_fus = len(if_l)
88 n_fp_fus = 0 # for now
89
90 n_fus = n_int_fus + n_fp_fus # plus FP FUs
91
92 # Integer FU-FU Dep Matrix
93 intfudeps = FUFUDepMatrix(n_int_fus, n_int_fus)
94 m.submodules.intfudeps = intfudeps
95 # Integer FU-Reg Dep Matrix
96 intregdeps = FURegDepMatrix(n_int_fus, self.n_regs)
97 m.submodules.intregdeps = intregdeps
98
99 # Integer Priority Picker 1: Adder + Subtractor
100 intpick1 = GroupPicker(2) # picks between add and sub
101 m.submodules.intpick1 = intpick1
102
103 # Global Pending Vectors (INT and TODO FP)
104 # NOTE: number of vectors is NOT same as number of FUs.
105 g_int_src1_pend_v = GlobalPending(self.n_regs, int_src1_pend_v)
106 g_int_src2_pend_v = GlobalPending(self.n_regs, int_src2_pend_v)
107 g_int_rd_pend_v = GlobalPending(self.n_regs, int_rd_pend_v)
108 g_int_wr_pend_v = GlobalPending(self.n_regs, int_wr_pend_v)
109 m.submodules.g_int_src1_pend_v = g_int_src1_pend_v
110 m.submodules.g_int_src2_pend_v = g_int_src2_pend_v
111 m.submodules.g_int_rd_pend_v = g_int_rd_pend_v
112 m.submodules.g_int_wr_pend_v = g_int_wr_pend_v
113
114 # INT/FP Issue Unit
115 regdecode = RegDecode(self.n_regs)
116 m.submodules.regdecode = regdecode
117 issueunit = IntFPIssueUnit(self.n_regs, n_int_fus, n_fp_fus)
118 m.submodules.issueunit = issueunit
119
120 #---------
121 # ok start wiring things together...
122 # "now hear de word of de looord... dem bones dem bones dem dryy bones"
123 # https://www.youtube.com/watch?v=pYb8Wm6-QfA
124 #---------
125
126 #---------
127 # Issue Unit is where it starts. set up some in/outs for this module
128 #---------
129 m.d.comb += [issueunit.i.store_i.eq(self.int_store_i),
130 regdecode.dest_i.eq(self.int_dest_i),
131 regdecode.src1_i.eq(self.int_src1_i),
132 regdecode.src2_i.eq(self.int_src2_i),
133 regdecode.enable_i.eq(1),
134 issueunit.i.dest_i.eq(regdecode.dest_o),
135 self.issue_o.eq(issueunit.issue_o)
136 ]
137 self.int_insn_i = issueunit.i.insn_i # enabled by instruction decode
138
139 # connect global rd/wr pending vectors
140 m.d.comb += issueunit.i.g_wr_pend_i.eq(g_int_wr_pend_v.g_pend_o)
141 # TODO: issueunit.f (FP)
142
143 # and int function issue / busy arrays, and dest/src1/src2
144 fn_busy_l = []
145 fn_issue_l = []
146 for i, alu in enumerate(int_alus):
147 fn_issue_l.append(fu.issue_i)
148 fn_busy_l.append(fu.busy_o)
149 m.d.sync += fu.issue_i.eq(issueunit.i.fn_issue_o[i])
150 m.d.comb += fu.dest_i.eq(self.int_dest_i)
151 m.d.comb += fu.src1_i.eq(self.int_src1_i)
152 m.d.comb += fu.src2_i.eq(self.int_src2_i)
153 # XXX sync, so as to stop a simulation infinite loop
154 m.d.comb += issueunit.i.busy_i[i].eq(fu.busy_o)
155
156 fn_issue_o = Signal(len(fn_issue_l), reset_less=True)
157 m.d.comb += fn_issue_o.eq(Cat(*fn_issue_l))
158 #fn_issue_o = issueunit.i.fn_issue_o
159 #---------
160 # connect fu-fu matrix
161 #---------
162
163 m.d.comb += intfudeps.rd_pend_i.eq(g_int_rd_pend_v.g_pend_o)
164 m.d.comb += intfudeps.wr_pend_i.eq(g_int_wr_pend_v.g_pend_o)
165
166 # Group Picker... done manually for now. TODO: cat array of pick sigs
167 go_rd_i = intfudeps.go_rd_i
168 go_wr_i = intfudeps.go_wr_i
169 m.d.sync += go_rd_i[0].eq(intpick1.go_rd_o[0]) # add rd
170 m.d.sync += go_wr_i[0].eq(intpick1.go_wr_o[0]) # add wr
171
172 m.d.sync += go_rd_i[1].eq(intpick1.go_rd_o[1]) # sub rd
173 m.d.sync += go_wr_i[1].eq(intpick1.go_wr_o[1]) # sub wr
174
175 m.d.comb += intfudeps.issue_i.eq(fn_issue_o)
176
177 #---------
178 # connect fu-dep matrix
179 #---------
180 r_go_rd_i = intregdeps.go_rd_i
181 r_go_wr_i = intregdeps.go_wr_i
182 m.d.comb += r_go_rd_i.eq(go_rd_i)
183 m.d.comb += r_go_wr_i.eq(go_wr_i)
184
185 m.d.comb += intregdeps.dest_i.eq(regdecode.dest_o)
186 m.d.comb += intregdeps.src1_i.eq(regdecode.src1_o)
187 m.d.comb += intregdeps.src2_i.eq(regdecode.src2_o)
188 m.d.comb += intregdeps.issue_i.eq(fn_issue_o)
189
190 # Connect Picker
191 #---------
192 m.d.comb += intpick1.req_rel_i[0].eq(int_alus[0].req_rel_o)
193 m.d.comb += intpick1.req_rel_i[1].eq(int_alus[1].req_rel_o)
194 int_readable_o = intfudeps.readable_o
195 int_writable_o = intfudeps.writable_o
196 m.d.comb += intpick1.readable_i[0].eq(int_readable_o[0]) # add rd
197 m.d.comb += intpick1.writable_i[0].eq(int_writable_o[0]) # add wr
198 m.d.comb += intpick1.readable_i[1].eq(int_readable_o[1]) # sub rd
199 m.d.comb += intpick1.writable_i[1].eq(int_writable_o[1]) # sub wr
200
201 #---------
202 # Connect Register File(s)
203 #---------
204 m.d.sync += int_dest.wen.eq(intregdeps.dest_rsel_o)
205 m.d.comb += int_src1.ren.eq(intregdeps.src1_rsel_o)
206 m.d.comb += int_src2.ren.eq(intregdeps.src2_rsel_o)
207
208 # merge (OR) all integer FU / ALU outputs to a single value
209 # bit of a hack: treereduce needs a list with an item named "dest_o"
210 dest_o = treereduce(int_alus)
211 m.d.comb += int_dest.data_i.eq(dest_o)
212
213 # connect ALUs
214 for i, alu in enumerate(int_alus):
215 m.d.comb += alu.go_rd_i.eq(go_rd_i[i])
216 m.d.comb += alu.go_wr_i.eq(go_wr_i[i])
217 m.d.comb += alu.src1_i.eq(int_src1.data_o)
218 m.d.comb += alu.src2_i.eq(int_src2.data_o)
219
220 return m
221
222
223 def __iter__(self):
224 yield from self.intregs
225 yield from self.fpregs
226 yield self.int_store_i
227 yield self.int_dest_i
228 yield self.int_src1_i
229 yield self.int_src2_i
230 yield self.issue_o
231 #yield from self.int_src1
232 #yield from self.int_dest
233 #yield from self.int_src1
234 #yield from self.int_src2
235 #yield from self.fp_dest
236 #yield from self.fp_src1
237 #yield from self.fp_src2
238
239 def ports(self):
240 return list(self)
241
242 IADD = 0
243 ISUB = 1
244
245 class RegSim:
246 def __init__(self, rwidth, nregs):
247 self.rwidth = rwidth
248 self.regs = [0] * nregs
249
250 def op(self, op, src1, src2, dest):
251 src1 = self.regs[src1]
252 src2 = self.regs[src2]
253 if op == IADD:
254 val = (src1 + src2) & ((1<<(self.rwidth))-1)
255 elif op == ISUB:
256 val = (src1 - src2) & ((1<<(self.rwidth))-1)
257 self.regs[dest] = val
258
259 def setval(self, dest, val):
260 self.regs[dest] = val
261
262 def dump(self, dut):
263 for i, val in enumerate(self.regs):
264 reg = yield dut.intregs.regs[i].reg
265 okstr = "OK" if reg == val else "!ok"
266 print("reg %d expected %x received %x %s" % (i, val, reg, okstr))
267
268 def check(self, dut):
269 for i, val in enumerate(self.regs):
270 reg = yield dut.intregs.regs[i].reg
271 if reg != val:
272 print("reg %d expected %x received %x\n" % (i, val, reg))
273 yield from self.dump(dut)
274 assert False
275
276 def int_instr(dut, alusim, op, src1, src2, dest):
277 for i in range(len(dut.int_insn_i)):
278 yield dut.int_insn_i[i].eq(0)
279 yield dut.int_dest_i.eq(dest)
280 yield dut.int_src1_i.eq(src1)
281 yield dut.int_src2_i.eq(src2)
282 yield dut.int_insn_i[op].eq(1)
283 alusim.op(op, src1, src2, dest)
284
285
286 def print_reg(dut, rnums):
287 rs = []
288 for rnum in rnums:
289 reg = yield dut.intregs.regs[rnum].reg
290 rs.append("%x" % reg)
291 rnums = map(str, rnums)
292 print ("reg %s: %s" % (','.join(rnums), ','.join(rs)))
293
294
295 def scoreboard_sim(dut, alusim):
296 yield dut.int_store_i.eq(0)
297
298 for i in range(1, dut.n_regs):
299 yield dut.intregs.regs[i].reg.eq(i)
300 alusim.setval(i, i)
301
302 if False:
303 yield from int_instr(dut, alusim, IADD, 4, 3, 5)
304 yield from print_reg(dut, [3,4,5])
305 yield
306 yield from int_instr(dut, alusim, IADD, 5, 2, 5)
307 yield from print_reg(dut, [3,4,5])
308 yield
309 yield from int_instr(dut, alusim, ISUB, 5, 1, 3)
310 yield from print_reg(dut, [3,4,5])
311 yield
312 for i in range(len(dut.int_insn_i)):
313 yield dut.int_insn_i[i].eq(0)
314 yield from print_reg(dut, [3,4,5])
315 yield
316 yield from print_reg(dut, [3,4,5])
317 yield
318 yield from print_reg(dut, [3,4,5])
319 yield
320
321 yield from alusim.check(dut)
322
323 for i in range(4):
324 src1 = randint(1, dut.n_regs-1)
325 src2 = randint(1, dut.n_regs-1)
326 while True:
327 dest = randint(1, dut.n_regs-1)
328 break
329 if dest not in [src1, src2]:
330 break
331 src1 = 1
332 src2 = 7
333 dest = src2
334
335 op = randint(0, 1)
336 op = 0
337 print ("random %d: %d %d %d %d\n" % (i, op, src1, src2, dest))
338 yield from int_instr(dut, alusim, op, src1, src2, dest)
339 yield from print_reg(dut, [3,4,5])
340 yield
341 yield from print_reg(dut, [3,4,5])
342 for i in range(len(dut.int_insn_i)):
343 yield dut.int_insn_i[i].eq(0)
344 yield
345 yield
346
347
348 yield
349 yield from print_reg(dut, [3,4,5])
350 yield
351 yield from print_reg(dut, [3,4,5])
352 yield
353 yield
354 yield
355 yield
356 yield from alusim.check(dut)
357
358
359 def explore_groups(dut):
360 from nmigen.hdl.ir import Fragment
361 from nmigen.hdl.xfrm import LHSGroupAnalyzer
362
363 fragment = dut.elaborate(platform=None)
364 fr = Fragment.get(fragment, platform=None)
365
366 groups = LHSGroupAnalyzer()(fragment._statements)
367
368 print (groups)
369
370
371 def test_scoreboard():
372 dut = Scoreboard(32, 8)
373 alusim = RegSim(32, 8)
374 vl = rtlil.convert(dut, ports=dut.ports())
375 with open("test_scoreboard6600.il", "w") as f:
376 f.write(vl)
377
378 run_simulation(dut, scoreboard_sim(dut, alusim),
379 vcd_name='test_scoreboard6600.vcd')
380
381
382 if __name__ == '__main__':
383 test_scoreboard()