shadow seems to do the job of guaranteeing write-after-write
[soc.git] / src / experiment / score6600.py
1 from nmigen.compat.sim import run_simulation
2 from nmigen.cli import verilog, rtlil
3 from nmigen import Module, Const, Signal, Array, Cat, Elaboratable
4
5 from regfile.regfile import RegFileArray, treereduce
6 from scoreboard.fn_unit import IntFnUnit, FPFnUnit, LDFnUnit, STFnUnit
7 from scoreboard.fu_fu_matrix import FUFUDepMatrix
8 from scoreboard.fu_reg_matrix import FURegDepMatrix
9 from scoreboard.global_pending import GlobalPending
10 from scoreboard.group_picker import GroupPicker
11 from scoreboard.issue_unit import IntFPIssueUnit, RegDecode
12 from scoreboard.shadow import ShadowMatrix, WaWGrid
13
14 from compalu import ComputationUnitNoDelay
15
16 from alu_hier import ALU
17 from nmutil.latch import SRLatch
18
19 from random import randint
20
21 class CompUnits(Elaboratable):
22
23 def __init__(self, rwid, n_units):
24 """ Inputs:
25
26 * :rwid: bit width of register file(s) - both FP and INT
27 * :n_units: number of ALUs
28 """
29 self.n_units = n_units
30 self.rwid = rwid
31
32 self.issue_i = Signal(n_units, reset_less=True)
33 self.go_rd_i = Signal(n_units, reset_less=True)
34 self.go_wr_i = Signal(n_units, reset_less=True)
35 self.shadown_i = Signal(n_units, reset_less=True)
36 self.go_die_i = Signal(n_units, reset_less=True)
37 self.busy_o = Signal(n_units, reset_less=True)
38 self.rd_rel_o = Signal(n_units, reset_less=True)
39 self.req_rel_o = Signal(n_units, reset_less=True)
40
41 self.dest_o = Signal(rwid, reset_less=True)
42 self.src1_data_i = Signal(rwid, reset_less=True)
43 self.src2_data_i = Signal(rwid, reset_less=True)
44
45 def elaborate(self, platform):
46 m = Module()
47
48 # Int ALUs
49 add = ALU(self.rwid)
50 sub = ALU(self.rwid)
51 mul = ALU(self.rwid)
52 shf = ALU(self.rwid)
53 m.submodules.comp1 = comp1 = ComputationUnitNoDelay(self.rwid, 2, add)
54 m.submodules.comp2 = comp2 = ComputationUnitNoDelay(self.rwid, 2, sub)
55 m.submodules.comp3 = comp3 = ComputationUnitNoDelay(self.rwid, 2, mul)
56 m.submodules.comp4 = comp4 = ComputationUnitNoDelay(self.rwid, 2, shf)
57 int_alus = [comp1, comp2, comp3, comp4]
58
59 m.d.comb += comp1.oper_i.eq(Const(0, 2)) # op=add
60 m.d.comb += comp2.oper_i.eq(Const(1, 2)) # op=sub
61 m.d.comb += comp3.oper_i.eq(Const(2, 2)) # op=mul
62 m.d.comb += comp4.oper_i.eq(Const(3, 2)) # op=shf
63
64 go_rd_l = []
65 go_wr_l = []
66 issue_l = []
67 busy_l = []
68 req_rel_l = []
69 rd_rel_l = []
70 shadow_l = []
71 godie_l = []
72 for alu in int_alus:
73 req_rel_l.append(alu.req_rel_o)
74 rd_rel_l.append(alu.rd_rel_o)
75 shadow_l.append(alu.shadown_i)
76 godie_l.append(alu.go_die_i)
77 go_wr_l.append(alu.go_wr_i)
78 go_rd_l.append(alu.go_rd_i)
79 issue_l.append(alu.issue_i)
80 busy_l.append(alu.busy_o)
81 m.d.comb += self.rd_rel_o.eq(Cat(*rd_rel_l))
82 m.d.comb += self.req_rel_o.eq(Cat(*req_rel_l))
83 m.d.comb += self.busy_o.eq(Cat(*busy_l))
84 m.d.comb += Cat(*godie_l).eq(self.go_die_i)
85 m.d.comb += Cat(*shadow_l).eq(self.shadown_i)
86 m.d.comb += Cat(*go_wr_l).eq(self.go_wr_i)
87 m.d.comb += Cat(*go_rd_l).eq(self.go_rd_i)
88 m.d.comb += Cat(*issue_l).eq(self.issue_i)
89
90 # connect data register input/output
91
92 # merge (OR) all integer FU / ALU outputs to a single value
93 # bit of a hack: treereduce needs a list with an item named "dest_o"
94 dest_o = treereduce(int_alus)
95 m.d.comb += self.dest_o.eq(dest_o)
96
97 for i, alu in enumerate(int_alus):
98 m.d.comb += alu.src1_i.eq(self.src1_data_i)
99 m.d.comb += alu.src2_i.eq(self.src2_data_i)
100
101 return m
102
103
104 class FunctionUnits(Elaboratable):
105
106 def __init__(self, n_regs, n_int_alus):
107 self.n_regs = n_regs
108 self.n_int_alus = n_int_alus
109
110 self.dest_i = Signal(n_regs, reset_less=True) # Dest R# in
111 self.src1_i = Signal(n_regs, reset_less=True) # oper1 R# in
112 self.src2_i = Signal(n_regs, reset_less=True) # oper2 R# in
113
114 self.g_int_rd_pend_o = Signal(n_regs, reset_less=True)
115 self.g_int_wr_pend_o = Signal(n_regs, reset_less=True)
116
117 self.dest_rsel_o = Signal(n_regs, reset_less=True) # dest reg (bot)
118 self.src1_rsel_o = Signal(n_regs, reset_less=True) # src1 reg (bot)
119 self.src2_rsel_o = Signal(n_regs, reset_less=True) # src2 reg (bot)
120
121 self.req_rel_i = Signal(n_int_alus, reset_less = True)
122 self.readable_o = Signal(n_int_alus, reset_less=True)
123 self.writable_o = Signal(n_int_alus, reset_less=True)
124
125 self.go_rd_i = Signal(n_int_alus, reset_less=True)
126 self.go_wr_i = Signal(n_int_alus, reset_less=True)
127 self.req_rel_o = Signal(n_int_alus, reset_less=True)
128 self.fn_issue_i = Signal(n_int_alus, reset_less=True)
129
130 # Note: FURegs wr_pend_o is also outputted from here, for use in WaWGrid
131
132 def elaborate(self, platform):
133 m = Module()
134
135 n_int_fus = self.n_int_alus
136
137 # Integer FU-FU Dep Matrix
138 intfudeps = FUFUDepMatrix(n_int_fus, n_int_fus)
139 m.submodules.intfudeps = intfudeps
140 # Integer FU-Reg Dep Matrix
141 intregdeps = FURegDepMatrix(n_int_fus, self.n_regs)
142 m.submodules.intregdeps = intregdeps
143
144 m.d.comb += self.g_int_rd_pend_o.eq(intregdeps.rd_rsel_o)
145 m.d.comb += self.g_int_wr_pend_o.eq(intregdeps.wr_rsel_o)
146
147 m.d.comb += intregdeps.rd_pend_i.eq(intregdeps.rd_rsel_o)
148 m.d.comb += intregdeps.wr_pend_i.eq(intregdeps.wr_rsel_o)
149
150 m.d.comb += intfudeps.rd_pend_i.eq(intregdeps.rd_pend_o)
151 m.d.comb += intfudeps.wr_pend_i.eq(intregdeps.wr_pend_o)
152 self.wr_pend_o = intregdeps.wr_pend_o # also output for use in WaWGrid
153
154 m.d.comb += intfudeps.issue_i.eq(self.fn_issue_i)
155 m.d.comb += intfudeps.go_rd_i.eq(self.go_rd_i)
156 m.d.comb += intfudeps.go_wr_i.eq(self.go_wr_i)
157 m.d.comb += self.readable_o.eq(intfudeps.readable_o)
158 m.d.comb += self.writable_o.eq(intfudeps.writable_o)
159
160 # Connect function issue / arrays, and dest/src1/src2
161 m.d.comb += intregdeps.dest_i.eq(self.dest_i)
162 m.d.comb += intregdeps.src1_i.eq(self.src1_i)
163 m.d.comb += intregdeps.src2_i.eq(self.src2_i)
164
165 m.d.comb += intregdeps.go_rd_i.eq(self.go_rd_i)
166 m.d.comb += intregdeps.go_wr_i.eq(self.go_wr_i)
167 m.d.comb += intregdeps.issue_i.eq(self.fn_issue_i)
168
169 m.d.comb += self.dest_rsel_o.eq(intregdeps.dest_rsel_o)
170 m.d.comb += self.src1_rsel_o.eq(intregdeps.src1_rsel_o)
171 m.d.comb += self.src2_rsel_o.eq(intregdeps.src2_rsel_o)
172
173 return m
174
175
176 class Scoreboard(Elaboratable):
177 def __init__(self, rwid, n_regs):
178 """ Inputs:
179
180 * :rwid: bit width of register file(s) - both FP and INT
181 * :n_regs: depth of register file(s) - number of FP and INT regs
182 """
183 self.rwid = rwid
184 self.n_regs = n_regs
185
186 # Register Files
187 self.intregs = RegFileArray(rwid, n_regs)
188 self.fpregs = RegFileArray(rwid, n_regs)
189
190 # inputs
191 self.int_store_i = Signal(reset_less=True) # instruction is a store
192 self.int_dest_i = Signal(max=n_regs, reset_less=True) # Dest R# in
193 self.int_src1_i = Signal(max=n_regs, reset_less=True) # oper1 R# in
194 self.int_src2_i = Signal(max=n_regs, reset_less=True) # oper2 R# in
195 self.reg_enable_i = Signal(reset_less=True) # enable reg decode
196
197 self.issue_o = Signal(reset_less=True) # instruction was accepted
198 self.busy_o = Signal(reset_less=True) # at least one CU is busy
199
200 def elaborate(self, platform):
201 m = Module()
202
203 m.submodules.intregs = self.intregs
204 m.submodules.fpregs = self.fpregs
205
206 # register ports
207 int_dest = self.intregs.write_port("dest")
208 int_src1 = self.intregs.read_port("src1")
209 int_src2 = self.intregs.read_port("src2")
210
211 fp_dest = self.fpregs.write_port("dest")
212 fp_src1 = self.fpregs.read_port("src1")
213 fp_src2 = self.fpregs.read_port("src2")
214
215 # Int ALUs and Comp Units
216 n_int_alus = 4
217 m.submodules.cu = cu = CompUnits(self.rwid, n_int_alus)
218 m.d.comb += cu.go_die_i.eq(0)
219
220 # Int FUs
221 m.submodules.intfus = intfus = FunctionUnits(self.n_regs, n_int_alus)
222
223 # Count of number of FUs
224 n_int_fus = n_int_alus
225 n_fp_fus = 0 # for now
226
227 # Integer Priority Picker 1: Adder + Subtractor
228 intpick1 = GroupPicker(n_int_fus) # picks between add, sub, mul and shf
229 m.submodules.intpick1 = intpick1
230
231 # INT/FP Issue Unit
232 regdecode = RegDecode(self.n_regs)
233 m.submodules.regdecode = regdecode
234 issueunit = IntFPIssueUnit(self.n_regs, n_int_fus, n_fp_fus)
235 m.submodules.issueunit = issueunit
236
237 # Shadow Matrix. currently n_int_fus shadows, to be used for
238 # write-after-write hazards
239 m.submodules.shadows = shadows = ShadowMatrix(n_int_fus, n_int_fus)
240 go_rd_rst = Signal(n_int_fus, reset_less=True)
241 go_wr_rst = Signal(n_int_fus, reset_less=True)
242
243 # Write-after-Write grid: selects one shadow to enable, based
244 # on which unit(s) have writes pending and the current instruction
245 # also needing to write
246 m.submodules.wawgrid = wawgrid = WaWGrid(n_int_fus, n_int_fus)
247 fn_issue_prev = Signal(n_int_fus)
248
249 #---------
250 # ok start wiring things together...
251 # "now hear de word of de looord... dem bones dem bones dem dryy bones"
252 # https://www.youtube.com/watch?v=pYb8Wm6-QfA
253 #---------
254
255 #---------
256 # Issue Unit is where it starts. set up some in/outs for this module
257 #---------
258 m.d.comb += [issueunit.i.store_i.eq(self.int_store_i),
259 regdecode.dest_i.eq(self.int_dest_i),
260 regdecode.src1_i.eq(self.int_src1_i),
261 regdecode.src2_i.eq(self.int_src2_i),
262 regdecode.enable_i.eq(self.reg_enable_i),
263 issueunit.i.dest_i.eq(regdecode.dest_o),
264 self.issue_o.eq(issueunit.issue_o)
265 ]
266 self.int_insn_i = issueunit.i.insn_i # enabled by instruction decode
267
268 # connect global rd/wr pending vector (for WaW detection)
269 m.d.sync += issueunit.i.g_wr_pend_i.eq(intfus.g_int_wr_pend_o)
270 # TODO: issueunit.f (FP)
271
272 # and int function issue / busy arrays, and dest/src1/src2
273 m.d.comb += intfus.dest_i.eq(regdecode.dest_o)
274 m.d.comb += intfus.src1_i.eq(regdecode.src1_o)
275 m.d.comb += intfus.src2_i.eq(regdecode.src2_o)
276
277 fn_issue_o = issueunit.i.fn_issue_o
278
279 m.d.comb += intfus.fn_issue_i.eq(fn_issue_o)
280 m.d.comb += issueunit.i.busy_i.eq(cu.busy_o)
281 m.d.comb += self.busy_o.eq(cu.busy_o.bool())
282
283 #---------
284 # connect fu-fu matrix
285 #---------
286
287 # Group Picker... done manually for now.
288 go_rd_o = intpick1.go_rd_o
289 go_wr_o = intpick1.go_wr_o
290 go_rd_i = intfus.go_rd_i
291 go_wr_i = intfus.go_wr_i
292 # NOTE: connect to the shadowed versions so that they can "die" (reset)
293 m.d.comb += go_rd_i[0:n_int_fus].eq(go_rd_rst[0:n_int_fus]) # rd
294 m.d.comb += go_wr_i[0:n_int_fus].eq(go_wr_rst[0:n_int_fus]) # wr
295
296 # Connect Picker
297 #---------
298 m.d.comb += intpick1.rd_rel_i[0:n_int_fus].eq(cu.rd_rel_o[0:n_int_fus])
299 m.d.comb += intpick1.req_rel_i[0:n_int_fus].eq(cu.req_rel_o[0:n_int_fus])
300 int_rd_o = intfus.readable_o
301 int_wr_o = intfus.writable_o
302 m.d.comb += intpick1.readable_i[0:n_int_fus].eq(int_rd_o[0:n_int_fus])
303 m.d.comb += intpick1.writable_i[0:n_int_fus].eq(int_wr_o[0:n_int_fus])
304
305 #---------
306 # Shadow Matrix
307 #---------
308
309 m.d.comb += shadows.issue_i.eq(fn_issue_o)
310 # these are explained in ShadowMatrix docstring, and are to be
311 # connected to the FUReg and FUFU Matrices, to get them to reset
312 # NOTE: do NOT connect these to the Computation Units. The CUs need to
313 # do something slightly different (due to the revolving-door SRLatches)
314 m.d.comb += go_rd_rst.eq(go_rd_o | shadows.go_die_o)
315 m.d.comb += go_wr_rst.eq(go_wr_o | shadows.go_die_o)
316
317 # connect shadows / go_dies to Computation Units
318 m.d.comb += cu.shadown_i[0:n_int_fus].eq(shadows.shadown_o[0:n_int_fus])
319 m.d.comb += cu.go_die_i[0:n_int_fus].eq(shadows.go_die_o[0:n_int_fus])
320
321 # ok connect first n_int_fu shadows to busy lines, to create an
322 # instruction-order linked-list-like arrangement, using a bit-matrix
323 # (instead of e.g. a ring buffer).
324 # XXX TODO
325
326 # when written, the shadow can be cancelled (and was good)
327 m.d.comb += shadows.s_good_i[0:n_int_fus].eq(go_wr_o[0:n_int_fus])
328
329 # work out the current-activated busy unit (by recording the old one)
330 with m.If(fn_issue_o): # only update prev bit if instruction issued
331 m.d.sync += fn_issue_prev.eq(fn_issue_o)
332
333 # now the "2D-bit-array-linked-list" can be created, with the
334 # relationships of the previous and current instruction.
335 # *previous* instruction shadows *current* instruction
336 #m.d.comb += wawgrid.shadow_i.eq(fn_issue_prev & cu.busy_o & ~fn_issue_o)
337 #m.d.comb += wawgrid.fu_i.eq(fn_issue_o)
338
339 # and now we can connect the wawgrid to the shadow matrix. whewww
340 for i in range(n_int_fus):
341 m.d.comb += shadows.shadow_i[i].eq(\
342 ~fn_issue_o & fn_issue_prev & cu.busy_o)
343 #m.d.comb += shadows.shadow_i[i].eq(wawgrid.waw_o[i])
344
345 #---------
346 # Connect Register File(s)
347 #---------
348 print ("intregdeps wen len", len(intfus.dest_rsel_o))
349 m.d.comb += int_dest.wen.eq(intfus.dest_rsel_o)
350 m.d.comb += int_src1.ren.eq(intfus.src1_rsel_o)
351 m.d.comb += int_src2.ren.eq(intfus.src2_rsel_o)
352
353 # connect ALUs to regfule
354 m.d.comb += int_dest.data_i.eq(cu.dest_o)
355 m.d.comb += cu.src1_data_i.eq(int_src1.data_o)
356 m.d.comb += cu.src2_data_i.eq(int_src2.data_o)
357
358 # connect ALU Computation Units
359 m.d.comb += cu.go_rd_i[0:n_int_fus].eq(go_rd_o[0:n_int_fus])
360 m.d.comb += cu.go_wr_i[0:n_int_fus].eq(go_wr_o[0:n_int_fus])
361 m.d.comb += cu.issue_i[0:n_int_fus].eq(fn_issue_o[0:n_int_fus])
362
363 return m
364
365
366 def __iter__(self):
367 yield from self.intregs
368 yield from self.fpregs
369 yield self.int_store_i
370 yield self.int_dest_i
371 yield self.int_src1_i
372 yield self.int_src2_i
373 yield self.issue_o
374 #yield from self.int_src1
375 #yield from self.int_dest
376 #yield from self.int_src1
377 #yield from self.int_src2
378 #yield from self.fp_dest
379 #yield from self.fp_src1
380 #yield from self.fp_src2
381
382 def ports(self):
383 return list(self)
384
385 IADD = 0
386 ISUB = 1
387 IMUL = 2
388 ISHF = 3
389
390 class RegSim:
391 def __init__(self, rwidth, nregs):
392 self.rwidth = rwidth
393 self.regs = [0] * nregs
394
395 def op(self, op, src1, src2, dest):
396 maxbits = (1 << self.rwidth) - 1
397 src1 = self.regs[src1]
398 src2 = self.regs[src2]
399 if op == IADD:
400 val = src1 + src2
401 elif op == ISUB:
402 val = src1 - src2
403 elif op == IMUL:
404 val = src1 * src2
405 elif op == ISHF:
406 val = src1 >> (src2 & maxbits)
407 val &= maxbits
408 self.regs[dest] = val
409
410 def setval(self, dest, val):
411 self.regs[dest] = val
412
413 def dump(self, dut):
414 for i, val in enumerate(self.regs):
415 reg = yield dut.intregs.regs[i].reg
416 okstr = "OK" if reg == val else "!ok"
417 print("reg %d expected %x received %x %s" % (i, val, reg, okstr))
418
419 def check(self, dut):
420 for i, val in enumerate(self.regs):
421 reg = yield dut.intregs.regs[i].reg
422 if reg != val:
423 print("reg %d expected %x received %x\n" % (i, val, reg))
424 yield from self.dump(dut)
425 assert False
426
427 def int_instr(dut, alusim, op, src1, src2, dest):
428 for i in range(len(dut.int_insn_i)):
429 yield dut.int_insn_i[i].eq(0)
430 yield dut.int_dest_i.eq(dest)
431 yield dut.int_src1_i.eq(src1)
432 yield dut.int_src2_i.eq(src2)
433 yield dut.int_insn_i[op].eq(1)
434 yield dut.reg_enable_i.eq(1)
435 alusim.op(op, src1, src2, dest)
436
437
438 def print_reg(dut, rnums):
439 rs = []
440 for rnum in rnums:
441 reg = yield dut.intregs.regs[rnum].reg
442 rs.append("%x" % reg)
443 rnums = map(str, rnums)
444 print ("reg %s: %s" % (','.join(rnums), ','.join(rs)))
445
446
447 def scoreboard_sim(dut, alusim):
448
449 yield dut.int_store_i.eq(1)
450
451 for i in range(20):
452
453 # set random values in the registers
454 for i in range(1, dut.n_regs):
455 val = 31+i*3
456 val = randint(0, (1<<alusim.rwidth)-1)
457 yield dut.intregs.regs[i].reg.eq(val)
458 alusim.setval(i, val)
459
460 # create some instructions (some random, some regression tests)
461 instrs = []
462 if True:
463 for i in range(10):
464 src1 = randint(1, dut.n_regs-1)
465 src2 = randint(1, dut.n_regs-1)
466 while True:
467 dest = randint(1, dut.n_regs-1)
468 break
469 if dest not in [src1, src2]:
470 break
471 #src1 = 2
472 #src2 = 3
473 #dest = 2
474
475 op = randint(0, 3)
476 #op = i % 2
477 #op = 0
478
479 instrs.append((src1, src2, dest, op))
480
481 if False:
482 instrs.append((2, 3, 3, 0))
483 instrs.append((5, 3, 3, 1))
484
485 if False:
486 instrs.append((5, 6, 2, 1))
487 instrs.append((2, 2, 4, 0))
488 #instrs.append((2, 2, 3, 1))
489
490 if False:
491 instrs.append((2, 1, 2, 3))
492
493 if False:
494 instrs.append((2, 6, 2, 1))
495 instrs.append((2, 1, 2, 0))
496
497 if False:
498 instrs.append((1, 2, 7, 2))
499 instrs.append((7, 1, 5, 0))
500 instrs.append((4, 4, 1, 1))
501
502 if False:
503 instrs.append((5, 6, 2, 2))
504 instrs.append((1, 1, 4, 1))
505 instrs.append((6, 5, 3, 0))
506
507 if False:
508 # Write-after-Write Hazard
509 instrs.append( (3, 6, 7, 2) )
510 instrs.append( (4, 4, 7, 1) )
511
512 if False:
513 # self-read/write-after-write followed by Read-after-Write
514 instrs.append((1, 1, 1, 1))
515 instrs.append((1, 5, 3, 0))
516
517 if False:
518 # Read-after-Write followed by self-read-after-write
519 instrs.append((5, 6, 1, 2))
520 instrs.append((1, 1, 1, 1))
521
522 if False:
523 # self-read-write sandwich
524 instrs.append((5, 6, 1, 2))
525 instrs.append((1, 1, 1, 1))
526 instrs.append((1, 5, 3, 0))
527
528 if False:
529 # very weird failure
530 instrs.append( (5, 2, 5, 2) )
531 instrs.append( (2, 6, 3, 0) )
532 instrs.append( (4, 2, 2, 1) )
533
534 # issue instruction(s), wait for issue to be free before proceeding
535 for i, (src1, src2, dest, op) in enumerate(instrs):
536
537 print ("instr %d: (%d, %d, %d, %d)" % (i, src1, src2, dest, op))
538 yield from int_instr(dut, alusim, op, src1, src2, dest)
539 yield
540 while True:
541 issue_o = yield dut.issue_o
542 if issue_o:
543 for i in range(len(dut.int_insn_i)):
544 yield dut.int_insn_i[i].eq(0)
545 yield dut.reg_enable_i.eq(0)
546 break
547 #print ("busy",)
548 #yield from print_reg(dut, [1,2,3])
549 yield
550 #yield from print_reg(dut, [1,2,3])
551
552 # wait for all instructions to stop before checking
553 yield
554 while True:
555 busy_o = yield dut.busy_o
556 if not busy_o:
557 break
558 print ("busy",)
559 yield
560
561 # check status
562 yield from alusim.check(dut)
563 yield from alusim.dump(dut)
564
565
566 def explore_groups(dut):
567 from nmigen.hdl.ir import Fragment
568 from nmigen.hdl.xfrm import LHSGroupAnalyzer
569
570 fragment = dut.elaborate(platform=None)
571 fr = Fragment.get(fragment, platform=None)
572
573 groups = LHSGroupAnalyzer()(fragment._statements)
574
575 print (groups)
576
577
578 def test_scoreboard():
579 dut = Scoreboard(16, 8)
580 alusim = RegSim(16, 8)
581 vl = rtlil.convert(dut, ports=dut.ports())
582 with open("test_scoreboard6600.il", "w") as f:
583 f.write(vl)
584
585 run_simulation(dut, scoreboard_sim(dut, alusim),
586 vcd_name='test_scoreboard6600.vcd')
587
588
589 if __name__ == '__main__':
590 test_scoreboard()