convert always_comb assignments
authorTobias Platen <tplaten@posteo.de>
Fri, 24 Jan 2020 14:20:04 +0000 (15:20 +0100)
committerTobias Platen <tplaten@posteo.de>
Fri, 24 Jan 2020 14:20:04 +0000 (15:20 +0100)
absyn.py
examples/always_comb.sv [new file with mode: 0644]
parse_sv.py

index a199daa4da6846ebe31ddf19fe9015248d712107..ecd3846516ddf89e773c7b3bdb20754cf52e5e8b 100644 (file)
--- a/absyn.py
+++ b/absyn.py
@@ -65,7 +65,9 @@ class Absyn:
         p = list(p)
         if(p[1] == "assign"):
             self.printpy(p[4])
-            # m.d.comb += [l.eq(r)]
+
+    def assign3(self, left, op, right):
+        return Assignment(left, op, right)
 
     def indent(self, count):
         if(indent_debug):
@@ -148,12 +150,6 @@ class Absyn:
             stmts.children.append(Leaf(token.STRING, ")"))
             stmts.children.append(self.nl())
 
-        # for a in self.assign:
-        #
-            #
-            #ports = a[8]
-        #
-
         stmts.children.append(self.indent(2))
         stmts.children.append(Leaf(token.STRING, "return m"))
         stmts.children.append(self.nl())
@@ -201,5 +197,10 @@ class Absyn:
 
     # combinatorical assign
     def cont_assign_1(self, p):
-        # print("#ASSIGN:BROKEN"+str(list(p)))
         self.assign += [Assignment(p[1], p[2], p[3])]
+
+    def always_comb(self, p3, p1):
+        print("always_comb")
+        slist = p3[6]
+        for s in slist.statements:
+            self.assign += [s]
diff --git a/examples/always_comb.sv b/examples/always_comb.sv
new file mode 100644 (file)
index 0000000..aa4975d
--- /dev/null
@@ -0,0 +1,18 @@
+module always_comb_test(
+    output first_hit,
+    output multi_hit
+);
+
+always_comb begin : HIT_CHECK
+    first_hit      =  0;
+    multi_hit      =  0;
+    out_addr       =  0;
+    cache_coherent =  1;
+    if(cond) begin
+       cache_coherent_if =  1;
+    end else begin
+       cache_coherent_else =  0;
+    end
+end
+  
+endmodule
index f17823776d4fc4d4b1b8afedd0f0e7d7b3f141b3..427f399e7a287b54f99d5173f35e56038fa4eac3 100644 (file)
@@ -27,7 +27,7 @@ from lib2to3.pygram import python_symbols as syms
 
 yacc1_debug = 0
 yacc2_debug = 0
-parse_debug = 1
+parse_debug = 0
 
 
 #from parse_tokens import tokens
@@ -108,6 +108,21 @@ class DataType:
         self.signed = signed
 
 
+class StatementList:
+    def __init__(self):
+        self.statements = []
+
+    def add_statement(self, s):
+        self.statements += [s]
+
+
+class PAssign:
+    def __init__(self, l, op, r):
+        self.l = l
+        self.op = op
+        self.r = r
+
+
 # -------------- RULES ----------------
 ()
 
@@ -133,6 +148,7 @@ def p_source_text_2(p):
 def p__embed0_source_text(p):
     '''_embed0_source_text : '''
 
+
     # { pform_set_scope_timescale(yyloc); }
 ()
 
@@ -151,6 +167,7 @@ def p_assignment_pattern_1(p):
     if(parse_debug):
         print('assignment_pattern_1', list(p))
 
+
     # { PEAssignPattern*tmp = new PEAssignPattern(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -164,6 +181,7 @@ def p_assignment_pattern_2(p):
     if(parse_debug):
         print('assignment_pattern_2', list(p))
 
+
     # { PEAssignPattern*tmp = new PEAssignPattern;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -194,6 +212,7 @@ def p_class_declaration_1(p):
     if(parse_debug):
         print('class_declaration_1', list(p))
 
+
     # { // Wrap up the class.
     #  if (p[11] && p[4] && p[4]->name != p[11]) {
     #        yyerror(@11, "error: Class end label doesn't match class name.");
@@ -206,6 +225,7 @@ def p_class_declaration_1(p):
 def p__embed0_class_declaration(p):
     '''_embed0_class_declaration : '''
 
+
     # { pform_start_class_declaration(@2, p[4], p[5].type, p[5].exprs, p[3]); }
 ()
 
@@ -213,6 +233,7 @@ def p__embed0_class_declaration(p):
 def p__embed1_class_declaration(p):
     '''_embed1_class_declaration : '''
 
+
     # { // Process a class.
     #  pform_end_class_declaration(@9);
     #       }
@@ -242,6 +263,7 @@ def p_class_identifier_1(p):
     if(parse_debug):
         print('class_identifier_1', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[1]);
@@ -259,6 +281,7 @@ def p_class_identifier_2(p):
     if(parse_debug):
         print('class_identifier_2', list(p))
 
+
     # { class_type_t*tmp = dynamic_cast<class_type_t*>(p[1].type);
     #  if (tmp == 0) {
     #        yyerror(@1, "Type name \"%s\"is not a predeclared class name.", p[1].text);
@@ -274,6 +297,7 @@ def p_class_declaration_endlabel_opt_1(p):
     if(parse_debug):
         print('class_declaration_endlabel_opt_1', list(p))
 
+
     # { class_type_t*tmp = dynamic_cast<class_type_t*> (p[2].type);
     #  if (tmp == 0) {
     #        yyerror(@2, "error: class declaration endlabel \"%s\" is not a class name\n", p[2].text);
@@ -301,6 +325,7 @@ def p_class_declaration_endlabel_opt_3(p):
     if(parse_debug):
         print('class_declaration_endlabel_opt_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -310,6 +335,7 @@ def p_class_declaration_extends_opt_1(p):
     if(parse_debug):
         print('class_declaration_extends_opt_1', list(p))
 
+
     # { p[0].type = p[2].type;
     #  p[0].exprs= 0;
     #  delete[]p[2].text;
@@ -322,6 +348,7 @@ def p_class_declaration_extends_opt_2(p):
     if(parse_debug):
         print('class_declaration_extends_opt_2', list(p))
 
+
     # { p[0].type  = p[2].type;
     #  p[0].exprs = p[4];
     #  delete[]p[2].text;
@@ -334,6 +361,7 @@ def p_class_declaration_extends_opt_3(p):
     if(parse_debug):
         print('class_declaration_extends_opt_3', list(p))
 
+
     # { p[0].type = 0; p[0].exprs = 0; }
 ()
 
@@ -379,6 +407,7 @@ def p_class_item_1(p):
     if(parse_debug):
         print('class_item_1', list(p))
 
+
     # { current_function->set_ports(p[6]);
     #  pform_set_constructor_return(current_function);
     #  pform_set_this_class(@3, current_function);
@@ -394,6 +423,7 @@ def p_class_item_2(p):
     if(parse_debug):
         print('class_item_2', list(p))
 
+
     # { pform_class_property(@2, p[1], p[2], p[3]); }
 ()
 
@@ -403,6 +433,7 @@ def p_class_item_3(p):
     if(parse_debug):
         print('class_item_3', list(p))
 
+
     # { pform_class_property(@1, p[2] | property_qualifier_t::make_const(), p[3], p[4]); }
 ()
 
@@ -412,6 +443,7 @@ def p_class_item_4(p):
     if(parse_debug):
         print('class_item_4', list(p))
 
+
     # { /* The task_declaration rule puts this into the class */ }
 ()
 
@@ -421,6 +453,7 @@ def p_class_item_5(p):
     if(parse_debug):
         print('class_item_5', list(p))
 
+
     # { /* The function_declaration rule puts this into the class */ }
 ()
 
@@ -430,6 +463,7 @@ def p_class_item_6(p):
     if(parse_debug):
         print('class_item_6', list(p))
 
+
     # { yyerror(@1, "sorry: External constructors are not yet supported."); }
 ()
 
@@ -439,6 +473,7 @@ def p_class_item_7(p):
     if(parse_debug):
         print('class_item_7', list(p))
 
+
     # { yyerror(@1, "sorry: External constructors are not yet supported."); }
 ()
 
@@ -448,6 +483,7 @@ def p_class_item_8(p):
     if(parse_debug):
         print('class_item_8', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[5];
     #       }
@@ -459,6 +495,7 @@ def p_class_item_9(p):
     if(parse_debug):
         print('class_item_9', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[5];
     #       }
@@ -470,6 +507,7 @@ def p_class_item_10(p):
     if(parse_debug):
         print('class_item_10', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[4];
     #       }
@@ -481,6 +519,7 @@ def p_class_item_11(p):
     if(parse_debug):
         print('class_item_11', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[4];
     #       }
@@ -501,6 +540,7 @@ def p_class_item_13(p):
     if(parse_debug):
         print('class_item_13', list(p))
 
+
     # { yyerror(@3, "error: Errors in variable names after data type.");
     #  yyerrok;
     #       }
@@ -512,6 +552,7 @@ def p_class_item_14(p):
     if(parse_debug):
         print('class_item_14', list(p))
 
+
     # { yyerror(@3, "error: %s doesn't name a type.", p[2]);
     #  yyerrok;
     #       }
@@ -523,6 +564,7 @@ def p_class_item_15(p):
     if(parse_debug):
         print('class_item_15', list(p))
 
+
     # { yyerror(@1, "error: I give up on this class constructor declaration.");
     #  yyerrok;
     #       }
@@ -534,6 +576,7 @@ def p_class_item_16(p):
     if(parse_debug):
         print('class_item_16', list(p))
 
+
     # { yyerror(@2, "error: invalid class item.");
     #  yyerrok;
     #       }
@@ -543,6 +586,7 @@ def p_class_item_16(p):
 def p__embed0_class_item(p):
     '''_embed0_class_item : '''
 
+
     # { assert(current_function==0);
     #  current_function = pform_push_constructor_scope(@3);
     #       }
@@ -554,6 +598,7 @@ def p_class_item_qualifier_1(p):
     if(parse_debug):
         print('class_item_qualifier_1', list(p))
 
+
     # { p[0] = property_qualifier_t::make_static(); }
 ()
 
@@ -563,6 +608,7 @@ def p_class_item_qualifier_2(p):
     if(parse_debug):
         print('class_item_qualifier_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_protected(); }
 ()
 
@@ -572,6 +618,7 @@ def p_class_item_qualifier_3(p):
     if(parse_debug):
         print('class_item_qualifier_3', list(p))
 
+
     # { p[0] = property_qualifier_t::make_local(); }
 ()
 
@@ -581,6 +628,7 @@ def p_class_item_qualifier_list_1(p):
     if(parse_debug):
         print('class_item_qualifier_list_1', list(p))
 
+
     # { p[0] = p[1] | p[2]; }
 ()
 
@@ -610,6 +658,7 @@ def p_class_item_qualifier_opt_2(p):
     if(parse_debug):
         print('class_item_qualifier_opt_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_none(); }
 ()
 
@@ -619,6 +668,7 @@ def p_class_new_1(p):
     if(parse_debug):
         print('class_new_1', list(p))
 
+
     # { list<PExpr*>*expr_list = p[3];
     #  strip_tail_items(expr_list);
     #  PENewClass*tmp = new PENewClass(*expr_list);
@@ -634,6 +684,7 @@ def p_class_new_2(p):
     if(parse_debug):
         print('class_new_2', list(p))
 
+
     # { PEIdent*tmpi = new PEIdent(*p[2]);
     #  FILE_NAME(tmpi, @2);
     #  PENewCopy*tmp = new PENewCopy(tmpi);
@@ -649,6 +700,7 @@ def p_class_new_3(p):
     if(parse_debug):
         print('class_new_3', list(p))
 
+
     # { PENewClass*tmp = new PENewClass;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -661,6 +713,7 @@ def p_concurrent_assertion_item_1(p):
     if(parse_debug):
         print('concurrent_assertion_item_1', list(p))
 
+
     # { /* */
     #  if (gn_assertions_flag) {
     #        yyerror(@2, "sorry: concurrent_assertion_item not supported."
@@ -675,6 +728,7 @@ def p_concurrent_assertion_item_2(p):
     if(parse_debug):
         print('concurrent_assertion_item_2', list(p))
 
+
     # { yyerrok;
     #         yyerror(@2, "error: Error in property_spec of concurrent assertion item.");
     #       }
@@ -731,6 +785,7 @@ def p_constraint_declaration_1(p):
     if(parse_debug):
         print('constraint_declaration_1', list(p))
 
+
     # { yyerror(@2, "sorry: Constraint declarations not supported."); }
 ()
 
@@ -740,6 +795,7 @@ def p_constraint_declaration_2(p):
     if(parse_debug):
         print('constraint_declaration_2', list(p))
 
+
     # { yyerror(@4, "error: Errors in the constraint block item list."); }
 ()
 
@@ -821,6 +877,7 @@ def p_constraint_prototype_1(p):
     if(parse_debug):
         print('constraint_prototype_1', list(p))
 
+
     # { yyerror(@2, "sorry: Constraint prototypes not supported."); }
 ()
 
@@ -848,6 +905,7 @@ def p_data_declaration_1(p):
     if(parse_debug):
         print('data_declaration_1', list(p))
 
+
     # { data_type_t*data_type = p[2];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -872,6 +930,7 @@ def p_data_type_1(p):
     dt.reg_flag = reg_flag
     p[0] = dt
 
+
     # { ivl_variable_type_t use_vtype = p[1];
     #  bool reg_flag = false;
     #  if (use_vtype == IVL_VT_NO_TYPE) {
@@ -892,6 +951,7 @@ def p_data_type_2(p):
         print('data_type_2', list(p))
     p[0] = p[1]
 
+
     # { real_type_t*tmp = new real_type_t(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -905,6 +965,7 @@ def p_data_type_3(p):
         print('data_type_3', list(p))
     p[0] = p[1]
 
+
     # { if (!p[1]->packed_flag) {
     #        yyerror(@1, "sorry: Unpacked structs not supported.");
     #  }
@@ -928,6 +989,7 @@ def p_data_type_5(p):
     if(parse_debug):
         print('data_type_5', list(p))
 
+
     # { atom2_type_t*tmp = new atom2_type_t(p[1], p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -940,6 +1002,7 @@ def p_data_type_6(p):
     if(parse_debug):
         print('data_type_6', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(integer_width);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[2], pd);
     #  tmp->reg_flag = true;
@@ -954,6 +1017,7 @@ def p_data_type_7(p):
     if(parse_debug):
         print('data_type_7', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(64);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
     #  tmp->reg_flag = !gn_system_verilog();
@@ -967,6 +1031,7 @@ def p_data_type_8(p):
     if(parse_debug):
         print('data_type_8', list(p))
 
+
     # { if (p[2]) {
     #        parray_type_t*tmp = new parray_type_t(p[1].type, p[2]);
     #        FILE_NAME(tmp, @1);
@@ -982,6 +1047,7 @@ def p_data_type_9(p):
     if(parse_debug):
         print('data_type_9', list(p))
 
+
     # { lex_in_package_scope(0);
     #  p[0] = p[4].type;
     #  delete[]p[4].text;
@@ -994,6 +1060,7 @@ def p_data_type_10(p):
     if(parse_debug):
         print('data_type_10', list(p))
 
+
     # { string_type_t*tmp = new string_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1004,6 +1071,7 @@ def p_data_type_10(p):
 def p__embed0_data_type(p):
     '''_embed0_data_type : '''
 
+
     # { lex_in_package_scope(p[1]); }
 ()
 
@@ -1023,6 +1091,7 @@ def p_data_type_or_implicit_2(p):
     if(parse_debug):
         print('data_type_or_implicit_2', list(p))
 
+
     # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[1], p[2]);
     #  tmp->implicit_flag = true;
     #  FILE_NAME(tmp, @1);
@@ -1037,6 +1106,7 @@ def p_data_type_or_implicit_3(p):
         print('data_type_or_implicit_3', list(p))
     p[0] = list(p)
 
+
     # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, p[1]);
     #  tmp->implicit_flag = true;
     #  FILE_NAME(tmp, @1);
@@ -1050,6 +1120,7 @@ def p_data_type_or_implicit_4(p):
     if(parse_debug > 2):
         print('data_type_or_implicit_4', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -1069,6 +1140,7 @@ def p_data_type_or_implicit_or_void_2(p):
     if(parse_debug):
         print('data_type_or_implicit_or_void_2', list(p))
 
+
     # { void_type_t*tmp = new void_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1144,6 +1216,7 @@ def p_description_8(p):
     if(parse_debug):
         print('description_8', list(p))
 
+
     # { perm_string tmp3 = lex_strings.make(p[3]);
     #  pform_set_type_attrib(tmp3, p[5], p[7]);
     #  delete[] p[3];
@@ -1193,6 +1266,7 @@ def p_dynamic_array_new_1(p):
     if(parse_debug):
         print('dynamic_array_new_1', list(p))
 
+
     # { p[0] = new PENewArray(p[3], 0);
     #  FILE_NAME(p[0], @1);
     #       }
@@ -1204,6 +1278,7 @@ def p_dynamic_array_new_2(p):
     if(parse_debug):
         print('dynamic_array_new_2', list(p))
 
+
     # { p[0] = new PENewArray(p[3], p[6]);
     #  FILE_NAME(p[0], @1);
     #       }
@@ -1215,6 +1290,7 @@ def p_for_step_1(p):
     if(parse_debug):
         print('for_step_1', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1227,6 +1303,7 @@ def p_for_step_2(p):
     if(parse_debug):
         print('for_step_2', list(p))
 
+
     # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); }
 ()
 
@@ -1246,6 +1323,7 @@ def p_function_declaration_1(p):
     if(parse_debug):
         print('function_declaration_1', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[11]) {
     #        if (strcmp(p[4],p[11]) != 0) {
@@ -1268,6 +1346,7 @@ def p_function_declaration_2(p):
     if(parse_debug):
         print('function_declaration_2', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[14]) {
     #        if (strcmp(p[4],p[14]) != 0) {
@@ -1290,6 +1369,7 @@ def p_function_declaration_3(p):
     if(parse_debug):
         print('function_declaration_3', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[8]) {
     #        if (strcmp(p[4],p[8]) != 0) {
@@ -1309,6 +1389,7 @@ def p_function_declaration_3(p):
 def p__embed0_function_declaration(p):
     '''_embed0_function_declaration : '''
 
+
     # { assert(current_function == 0);
     #  current_function = pform_push_function_scope(@1, p[4], p[2]);
     #       }
@@ -1318,6 +1399,7 @@ def p__embed0_function_declaration(p):
 def p__embed1_function_declaration(p):
     '''_embed1_function_declaration : '''
 
+
     # { current_function->set_ports(p[7]);
     #  current_function->set_return(p[3]);
     #  current_function_set_statement(p[8]? @8 : @4, p[8]);
@@ -1331,6 +1413,7 @@ def p__embed1_function_declaration(p):
 def p__embed2_function_declaration(p):
     '''_embed2_function_declaration : '''
 
+
     # { assert(current_function == 0);
     #  current_function = pform_push_function_scope(@1, p[4], p[2]);
     #       }
@@ -1340,6 +1423,7 @@ def p__embed2_function_declaration(p):
 def p__embed3_function_declaration(p):
     '''_embed3_function_declaration : '''
 
+
     # { current_function->set_ports(p[7]);
     #  current_function->set_return(p[3]);
     #  current_function_set_statement(p[11]? @11 : @4, p[11]);
@@ -1356,6 +1440,7 @@ def p__embed3_function_declaration(p):
 def p__embed4_function_declaration(p):
     '''_embed4_function_declaration : '''
 
+
     # { /* */
     #  if (current_function) {
     #        pform_pop_scope();
@@ -1393,6 +1478,7 @@ def p_implicit_class_handle_1(p):
     if(parse_debug):
         print('implicit_class_handle_1', list(p))
 
+
     # { p[0] = pform_create_this(); }
 ()
 
@@ -1402,6 +1488,7 @@ def p_implicit_class_handle_2(p):
     if(parse_debug):
         print('implicit_class_handle_2', list(p))
 
+
     # { p[0] = pform_create_super(); }
 ()
 
@@ -1411,6 +1498,7 @@ def p_inc_or_dec_expression_1(p):
     if(parse_debug):
         print('inc_or_dec_expression_1', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('I', p[2]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -1423,6 +1511,7 @@ def p_inc_or_dec_expression_2(p):
     if(parse_debug):
         print('inc_or_dec_expression_2', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('i', p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1435,6 +1524,7 @@ def p_inc_or_dec_expression_3(p):
     if(parse_debug):
         print('inc_or_dec_expression_3', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('D', p[2]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -1447,6 +1537,7 @@ def p_inc_or_dec_expression_4(p):
     if(parse_debug):
         print('inc_or_dec_expression_4', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('d', p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1459,6 +1550,7 @@ def p_inside_expression_1(p):
     if(parse_debug):
         print('inside_expression_1', list(p))
 
+
     # { yyerror(@2, "sorry: \"inside\" expressions not supported yet.");
     #  p[0] = None
     #       }
@@ -1500,6 +1592,7 @@ def p_integer_vector_type_4(p):
     if(parse_debug):
         print('integer_vector_type_4', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; }
 ()
 
@@ -1509,6 +1602,7 @@ def p_join_keyword_1(p):
     if(parse_debug):
         print('join_keyword_1', list(p))
 
+
     # { p[0] = PBlock::BL_PAR; }
 ()
 
@@ -1518,6 +1612,7 @@ def p_join_keyword_2(p):
     if(parse_debug):
         print('join_keyword_2', list(p))
 
+
     # { p[0] = PBlock::BL_JOIN_NONE; }
 ()
 
@@ -1527,6 +1622,7 @@ def p_join_keyword_3(p):
     if(parse_debug):
         print('join_keyword_3', list(p))
 
+
     # { p[0] = PBlock::BL_JOIN_ANY; }
 ()
 
@@ -1536,6 +1632,7 @@ def p_jump_statement_1(p):
     if(parse_debug):
         print('jump_statement_1', list(p))
 
+
     # { yyerror(@1, "sorry: break statements not supported.");
     #  p[0] = None
     #       }
@@ -1547,6 +1644,7 @@ def p_jump_statement_2(p):
     if(parse_debug):
         print('jump_statement_2', list(p))
 
+
     # { PReturn*tmp = new PReturn(0);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1559,6 +1657,7 @@ def p_jump_statement_3(p):
     if(parse_debug):
         print('jump_statement_3', list(p))
 
+
     # { PReturn*tmp = new PReturn(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1571,6 +1670,7 @@ def p_lifetime_1(p):
     if(parse_debug):
         print('lifetime_1', list(p))
 
+
     # { p[0] = LexicalScope::AUTOMATIC; }
 ()
 
@@ -1580,6 +1680,7 @@ def p_lifetime_2(p):
     if(parse_debug):
         print('lifetime_2', list(p))
 
+
     # { p[0] = LexicalScope::STATIC; }
 ()
 
@@ -1599,6 +1700,7 @@ def p_lifetime_opt_2(p):
     if(parse_debug > 2):
         print('lifetime_opt_2', list(p))
 
+
     # { p[0] = LexicalScope::INHERITED; }
 ()
 
@@ -1608,6 +1710,7 @@ def p_loop_statement_1(p):
     if(parse_debug):
         print('loop_statement_1', list(p))
 
+
     # { PForStatement*tmp = new PForStatement(p[3], p[5], p[7], p[9], p[11]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1620,6 +1723,7 @@ def p_loop_statement_2(p):
     if(parse_debug):
         print('loop_statement_2', list(p))
 
+
     # { pform_name_t tmp_hident;
     #  tmp_hident.push_back(name_component_t(lex_strings.make(p[4])));
     #
@@ -1646,6 +1750,7 @@ def p_loop_statement_3(p):
     if(parse_debug):
         print('loop_statement_3', list(p))
 
+
     # { PForever*tmp = new PForever(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1658,6 +1763,7 @@ def p_loop_statement_4(p):
     if(parse_debug):
         print('loop_statement_4', list(p))
 
+
     # { PRepeat*tmp = new PRepeat(p[3], p[5]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1670,6 +1776,7 @@ def p_loop_statement_5(p):
     if(parse_debug):
         print('loop_statement_5', list(p))
 
+
     # { PWhile*tmp = new PWhile(p[3], p[5]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1682,6 +1789,7 @@ def p_loop_statement_6(p):
     if(parse_debug):
         print('loop_statement_6', list(p))
 
+
     # { PDoWhile*tmp = new PDoWhile(p[5], p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1694,6 +1802,7 @@ def p_loop_statement_7(p):
     if(parse_debug):
         print('loop_statement_7', list(p))
 
+
     # { PForeach*tmp_for = pform_make_foreach(@1, p[3], p[5], p[9]);
     #
     #  pform_pop_scope();
@@ -1712,6 +1821,7 @@ def p_loop_statement_8(p):
     if(parse_debug):
         print('loop_statement_8', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in for loop step assignment.");
     #       }
@@ -1723,6 +1833,7 @@ def p_loop_statement_9(p):
     if(parse_debug):
         print('loop_statement_9', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in for loop condition expression.");
     #       }
@@ -1734,6 +1845,7 @@ def p_loop_statement_10(p):
     if(parse_debug):
         print('loop_statement_10', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Incomprehensible for loop.");
     #       }
@@ -1745,6 +1857,7 @@ def p_loop_statement_11(p):
     if(parse_debug):
         print('loop_statement_11', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in while loop condition.");
     #       }
@@ -1756,6 +1869,7 @@ def p_loop_statement_12(p):
     if(parse_debug):
         print('loop_statement_12', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in do/while loop condition.");
     #       }
@@ -1767,6 +1881,7 @@ def p_loop_statement_13(p):
     if(parse_debug):
         print('loop_statement_13', list(p))
 
+
     # { p[0] = None
     #         yyerror(@4, "error: Errors in foreach loop variables list.");
     #       }
@@ -1776,6 +1891,7 @@ def p_loop_statement_13(p):
 def p__embed0_loop_statement(p):
     '''_embed0_loop_statement : '''
 
+
     # { static unsigned for_counter = 0;
     #  char for_block_name [64];
     #  snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_for_loop%u", for_counter);
@@ -1796,6 +1912,7 @@ def p__embed0_loop_statement(p):
 def p__embed1_loop_statement(p):
     '''_embed1_loop_statement : '''
 
+
     # { static unsigned foreach_counter = 0;
     #  char for_block_name[64];
     #  snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_foreach%u", foreach_counter);
@@ -1815,6 +1932,7 @@ def p_list_of_variable_decl_assignments_1(p):
     if(parse_debug):
         print('list_of_variable_decl_assignments_1', list(p))
 
+
     # { list<decl_assignment_t*>*tmp = new list<decl_assignment_t*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -1827,6 +1945,7 @@ def p_list_of_variable_decl_assignments_2(p):
     if(parse_debug):
         print('list_of_variable_decl_assignments_2', list(p))
 
+
     # { list<decl_assignment_t*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -1839,6 +1958,7 @@ def p_variable_decl_assignment_1(p):
     if(parse_debug):
         print('variable_decl_assignment_1', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  if (p[2]) {
@@ -1856,6 +1976,7 @@ def p_variable_decl_assignment_2(p):
     if(parse_debug):
         print('variable_decl_assignment_2', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  tmp->expr .reset(p[3]);
@@ -1870,6 +1991,7 @@ def p_variable_decl_assignment_3(p):
     if(parse_debug):
         print('variable_decl_assignment_3', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  PENewClass*expr = new PENewClass;
@@ -1886,6 +2008,7 @@ def p_loop_variables_1(p):
     if(parse_debug):
         print('loop_variables_1', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #  tmp->push_back(lex_strings.make(p[3]));
     #  delete[]p[3];
@@ -1899,6 +2022,7 @@ def p_loop_variables_2(p):
     if(parse_debug):
         print('loop_variables_2', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #  tmp->push_back(lex_strings.make(p[1]));
     #  delete[]p[1];
@@ -1955,6 +2079,7 @@ def p_modport_declaration_1(p):
 def p__embed0_modport_declaration(p):
     '''_embed0_modport_declaration : '''
 
+
     # { if (!pform_in_interface())
     #        yyerror(@1, "error: modport declarations are only allowed "
     #                    "in interfaces.");
@@ -1985,6 +2110,7 @@ def p_modport_item_1(p):
     if(parse_debug):
         print('modport_item_1', list(p))
 
+
     # { pform_end_modport_item(@1); }
 ()
 
@@ -1992,6 +2118,7 @@ def p_modport_item_1(p):
 def p__embed0_modport_item(p):
     '''_embed0_modport_item : '''
 
+
     # { pform_start_modport_item(@1, p[1]); }
 ()
 
@@ -2019,6 +2146,7 @@ def p_modport_ports_list_3(p):
     if(parse_debug):
         print('modport_ports_list_3', list(p))
 
+
     # { if (last_modport_port.type == MP_SIMPLE) {
     #        pform_add_modport_port(@3, last_modport_port.direction,
     #                               p[3]->name, p[3]->parm);
@@ -2035,6 +2163,7 @@ def p_modport_ports_list_4(p):
     if(parse_debug):
         print('modport_ports_list_4', list(p))
 
+
     # { if (last_modport_port.type != MP_TF)
     #        yyerror(@3, "error: task/function declaration not allowed here.");
     #       }
@@ -2046,6 +2175,7 @@ def p_modport_ports_list_5(p):
     if(parse_debug):
         print('modport_ports_list_5', list(p))
 
+
     # { if (last_modport_port.type == MP_SIMPLE) {
     #        pform_add_modport_port(@3, last_modport_port.direction,
     #                               lex_strings.make(p[3]), 0);
@@ -2062,6 +2192,7 @@ def p_modport_ports_list_6(p):
     if(parse_debug):
         print('modport_ports_list_6', list(p))
 
+
     # { yyerror(@2, "error: NULL port declarations are not allowed"); }
 ()
 
@@ -2071,6 +2202,7 @@ def p_modport_ports_declaration_1(p):
     if(parse_debug):
         print('modport_ports_declaration_1', list(p))
 
+
     # { last_modport_port.type = MP_SIMPLE;
     #  last_modport_port.direction = p[2];
     #  pform_add_modport_port(@3, p[2], lex_strings.make(p[3]), 0);
@@ -2085,6 +2217,7 @@ def p_modport_ports_declaration_2(p):
     if(parse_debug):
         print('modport_ports_declaration_2', list(p))
 
+
     # { last_modport_port.type = MP_SIMPLE;
     #  last_modport_port.direction = p[2];
     #  pform_add_modport_port(@3, p[2], p[3]->name, p[3]->parm);
@@ -2099,6 +2232,7 @@ def p_modport_ports_declaration_3(p):
     if(parse_debug):
         print('modport_ports_declaration_3', list(p))
 
+
     # { last_modport_port.type = MP_TF;
     #  last_modport_port.is_import = p[2];
     #  yyerror(@3, "sorry: modport task/function ports are not yet supported.");
@@ -2113,6 +2247,7 @@ def p_modport_ports_declaration_4(p):
     if(parse_debug):
         print('modport_ports_declaration_4', list(p))
 
+
     # { last_modport_port.type = MP_TF;
     #  last_modport_port.is_import = p[2];
     #  yyerror(@3, "sorry: modport task/function ports are not yet supported.");
@@ -2126,6 +2261,7 @@ def p_modport_ports_declaration_5(p):
     if(parse_debug):
         print('modport_ports_declaration_5', list(p))
 
+
     # { last_modport_port.type = MP_CLOCKING;
     #  last_modport_port.direction = NetNet::NOT_A_PORT;
     #  yyerror(@3, "sorry: modport clocking declaration is not yet supported.");
@@ -2140,6 +2276,7 @@ def p_modport_simple_port_1(p):
     if(parse_debug):
         print('modport_simple_port_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #  tmp->name = lex_strings.make(p[2]);
     #  tmp->parm = p[4];
@@ -2190,6 +2327,7 @@ def p_non_integer_type_1(p):
     if(parse_debug):
         print('non_integer_type_1', list(p))
 
+
     # { p[0] = real_type_t::REAL; }
 ()
 
@@ -2199,6 +2337,7 @@ def p_non_integer_type_2(p):
     if(parse_debug):
         print('non_integer_type_2', list(p))
 
+
     # { p[0] = real_type_t::REAL; }
 ()
 
@@ -2208,6 +2347,7 @@ def p_non_integer_type_3(p):
     if(parse_debug):
         print('non_integer_type_3', list(p))
 
+
     # { p[0] = real_type_t::SHORTREAL; }
 ()
 
@@ -2217,6 +2357,7 @@ def p_number_1(p):
     if(parse_debug):
         print('number_1', list(p))
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2228,6 +2369,7 @@ def p_number_2(p):
     num = Leaf(token.NUMBER, "%s" % (p[1]))
     p[0] = num
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2239,6 +2381,7 @@ def p_number_3(p):
     num = Leaf(token.NUMBER, "%s:%s" % (p[1], p[2]))
     p[0] = num
 
+
     # { p[0] = pform_verinum_with_size(p[1],p[2], @2.text, @2.first_line);
     #         based_size = 0; }
 ()
@@ -2249,6 +2392,7 @@ def p_number_4(p):
     if(parse_debug):
         print('number_4', list(p))
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2258,6 +2402,7 @@ def p_number_5(p):
     if(parse_debug):
         print('number_5', list(p))
 
+
     # { yyerror(@1, "error: Unbased SystemVerilog literal cannot have "
     #                     "a size.");
     #         p[0] = p[1]; based_size = 0;}
@@ -2287,6 +2432,7 @@ def p_package_declaration_1(p):
     if(parse_debug):
         print('package_declaration_1', list(p))
 
+
     # { pform_end_package_declaration(@1);
     #  // If an end label is present make sure it match the package name.
     #  if (p[10]) {
@@ -2303,6 +2449,7 @@ def p_package_declaration_1(p):
 def p__embed0_package_declaration(p):
     '''_embed0_package_declaration : '''
 
+
     # { pform_start_package_declaration(@1, p[3], p[2]); }
 ()
 
@@ -2310,6 +2457,7 @@ def p__embed0_package_declaration(p):
 def p__embed1_package_declaration(p):
     '''_embed1_package_declaration : '''
 
+
     # { pform_set_scope_timescale(@1); }
 ()
 
@@ -2355,6 +2503,7 @@ def p_package_import_declaration_1(p):
     if(parse_debug):
         print('package_import_declaration_1', list(p))
 
+
     # { }
 ()
 
@@ -2364,6 +2513,7 @@ def p_package_import_item_1(p):
     if(parse_debug):
         print('package_import_item_1', list(p))
 
+
     # { pform_package_import(@2, p[1], p[3]);
     #  delete[]p[3];
     #       }
@@ -2375,6 +2525,7 @@ def p_package_import_item_2(p):
     if(parse_debug):
         print('package_import_item_2', list(p))
 
+
     # { pform_package_import(@2, p[1], 0);
     #       }
 ()
@@ -2511,6 +2662,7 @@ def p_port_direction_1(p):
     if(parse_debug):
         print('port_direction_1', list(p))
 
+
     # { p[0] = NetNet::PINPUT; }
 ()
 
@@ -2520,6 +2672,7 @@ def p_port_direction_2(p):
     if(parse_debug):
         print('port_direction_2', list(p))
 
+
     # { p[0] = NetNet::POUTPUT; }
 ()
 
@@ -2529,6 +2682,7 @@ def p_port_direction_3(p):
     if(parse_debug):
         print('port_direction_3', list(p))
 
+
     # { p[0] = NetNet::PINOUT; }
 ()
 
@@ -2538,6 +2692,7 @@ def p_port_direction_4(p):
     if(parse_debug):
         print('port_direction_4', list(p))
 
+
     # { p[0] = NetNet::PREF;
     #         if (!gn_system_verilog()) {
     #        yyerror(@1, "error: Reference ports (ref) require SystemVerilog.");
@@ -2562,6 +2717,7 @@ def p_port_direction_opt_2(p):
     if(parse_debug):
         print('port_direction_opt_2', list(p))
 
+
     # { p[0] = NetNet::PIMPLICIT; }
 ()
 
@@ -2580,6 +2736,7 @@ def p_procedural_assertion_statement_1(p):
     if(parse_debug):
         print('procedural_assertion_statement_1', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2591,6 +2748,7 @@ def p_procedural_assertion_statement_2(p):
     if(parse_debug):
         print('procedural_assertion_statement_2', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2602,6 +2760,7 @@ def p_procedural_assertion_statement_3(p):
     if(parse_debug):
         print('procedural_assertion_statement_3', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2641,6 +2800,7 @@ def p_property_qualifier_opt_2(p):
     if(parse_debug):
         print('property_qualifier_opt_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_none(); }
 ()
 
@@ -2650,6 +2810,7 @@ def p_property_qualifier_list_1(p):
     if(parse_debug):
         print('property_qualifier_list_1', list(p))
 
+
     # { p[0] = p[1] | p[2]; }
 ()
 
@@ -2696,6 +2857,7 @@ def p_random_qualifier_1(p):
     if(parse_debug):
         print('random_qualifier_1', list(p))
 
+
     # { p[0] = property_qualifier_t::make_rand(); }
 ()
 
@@ -2705,6 +2867,7 @@ def p_random_qualifier_2(p):
     if(parse_debug):
         print('random_qualifier_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_randc(); }
 ()
 
@@ -2752,6 +2915,7 @@ def p_simple_type_or_string_1(p):
     if(parse_debug):
         print('simple_type_or_string_1', list(p))
 
+
     # { ivl_variable_type_t use_vtype = p[1];
     #  bool reg_flag = false;
     #  if (use_vtype == IVL_VT_NO_TYPE) {
@@ -2771,6 +2935,7 @@ def p_simple_type_or_string_2(p):
     if(parse_debug):
         print('simple_type_or_string_2', list(p))
 
+
     # { real_type_t*tmp = new real_type_t(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2783,6 +2948,7 @@ def p_simple_type_or_string_3(p):
     if(parse_debug):
         print('simple_type_or_string_3', list(p))
 
+
     # { atom2_type_t*tmp = new atom2_type_t(p[1], true);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2795,6 +2961,7 @@ def p_simple_type_or_string_4(p):
     if(parse_debug):
         print('simple_type_or_string_4', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(integer_width);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, true, pd);
     #  tmp->reg_flag = true;
@@ -2809,6 +2976,7 @@ def p_simple_type_or_string_5(p):
     if(parse_debug):
         print('simple_type_or_string_5', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(64);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
     #  tmp->reg_flag = !gn_system_verilog();
@@ -2822,6 +2990,7 @@ def p_simple_type_or_string_6(p):
     if(parse_debug):
         print('simple_type_or_string_6', list(p))
 
+
     # { p[0] = p[1].type;
     #  delete[]p[1].text;
     #       }
@@ -2833,6 +3002,7 @@ def p_simple_type_or_string_7(p):
     if(parse_debug):
         print('simple_type_or_string_7', list(p))
 
+
     # { lex_in_package_scope(0);
     #  p[0] = p[4].type;
     #  delete[]p[4].text;
@@ -2845,6 +3015,7 @@ def p_simple_type_or_string_8(p):
     if(parse_debug):
         print('simple_type_or_string_8', list(p))
 
+
     # { string_type_t*tmp = new string_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2855,6 +3026,7 @@ def p_simple_type_or_string_8(p):
 def p__embed0_simple_type_or_string(p):
     '''_embed0_simple_type_or_string : '''
 
+
     # { lex_in_package_scope(p[1]); }
 ()
 
@@ -2865,7 +3037,8 @@ def p_statement_1(p):
         print('statement_1', list(p))
 
     # { pform_bind_attributes(p[2]->attributes, p[1]);
-    #  p[0] = p[2];
+    p[0] = p[2]
+
     #       }
 ()
 
@@ -2885,6 +3058,9 @@ def p_statement_or_null_2(p):
     if(parse_debug):
         print('statement_or_null_2', list(p))
 
+    raise(Exception("p_statement_or_null_2"))
+
+
     # { p[0] = None }
 ()
 
@@ -2939,6 +3115,7 @@ def p_streaming_concatenation_1(p):
     if(parse_debug):
         print('streaming_concatenation_1', list(p))
 
+
     # { /* streaming concatenation is a SystemVerilog thing. */
     #  if (gn_system_verilog()) {
     #        yyerror(@2, "sorry: Streaming concatenation not supported.");
@@ -2956,6 +3133,7 @@ def p_task_declaration_1(p):
     if(parse_debug):
         print('task_declaration_1', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -2981,6 +3159,7 @@ def p_task_declaration_2(p):
     if(parse_debug):
         print('task_declaration_2', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3006,6 +3185,7 @@ def p_task_declaration_3(p):
     if(parse_debug):
         print('task_declaration_3', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3031,6 +3211,7 @@ def p_task_declaration_4(p):
     if(parse_debug):
         print('task_declaration_4', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3054,6 +3235,7 @@ def p_task_declaration_4(p):
 def p__embed0_task_declaration(p):
     '''_embed0_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3063,6 +3245,7 @@ def p__embed0_task_declaration(p):
 def p__embed1_task_declaration(p):
     '''_embed1_task_declaration : '''
 
+
     # { current_task->set_ports(p[6]);
     #  current_task_set_statement(@3, p[7]);
     #  pform_set_this_class(@3, current_task);
@@ -3079,6 +3262,7 @@ def p__embed1_task_declaration(p):
 def p__embed2_task_declaration(p):
     '''_embed2_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3088,6 +3272,7 @@ def p__embed2_task_declaration(p):
 def p__embed3_task_declaration(p):
     '''_embed3_task_declaration : '''
 
+
     # { current_task->set_ports(p[6]);
     #  current_task_set_statement(@3, p[10]);
     #  pform_set_this_class(@3, current_task);
@@ -3101,6 +3286,7 @@ def p__embed3_task_declaration(p):
 def p__embed4_task_declaration(p):
     '''_embed4_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3110,6 +3296,7 @@ def p__embed4_task_declaration(p):
 def p__embed5_task_declaration(p):
     '''_embed5_task_declaration : '''
 
+
     # { current_task->set_ports(0);
     #  current_task_set_statement(@3, p[9]);
     #  pform_set_this_class(@3, current_task);
@@ -3130,6 +3317,7 @@ def p__embed5_task_declaration(p):
 def p__embed6_task_declaration(p):
     '''_embed6_task_declaration : '''
 
+
     # {
     #  if (current_task) {
     #        pform_pop_scope();
@@ -3144,6 +3332,7 @@ def p_tf_port_declaration_1(p):
     if(parse_debug):
         print('tf_port_declaration_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1],
     #                                          p[2] ? IVL_VT_LOGIC :
     #                                               IVL_VT_NO_TYPE,
@@ -3158,6 +3347,7 @@ def p_tf_port_declaration_2(p):
     if(parse_debug):
         print('tf_port_declaration_2', list(p))
 
+
     # { list<pform_range_t>*range_stub = make_range_from_width(integer_width);
     #  vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, true,
     #                                              range_stub, p[3], true);
@@ -3171,6 +3361,7 @@ def p_tf_port_declaration_3(p):
     if(parse_debug):
         print('tf_port_declaration_3', list(p))
 
+
     # { list<pform_range_t>*range_stub = make_range_from_width(64);
     #  vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, false,
     #                                             range_stub, p[3]);
@@ -3184,6 +3375,7 @@ def p_tf_port_declaration_4(p):
     if(parse_debug):
         print('tf_port_declaration_4', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_REAL, true,
     #                                             0, p[3]);
     #  p[0] = tmp;
@@ -3196,6 +3388,7 @@ def p_tf_port_declaration_5(p):
     if(parse_debug):
         print('tf_port_declaration_5', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_STRING, true,
     #                                             0, p[3]);
     #  p[0] = tmp;
@@ -3208,6 +3401,7 @@ def p_tf_port_item_1(p):
     if(parse_debug):
         print('tf_port_item_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp;
     #  NetNet::PortType use_port_type = p[1];
     #         if ((use_port_type == NetNet::PIMPLICIT) && (gn_system_verilog() || (p[2] == 0)))
@@ -3260,6 +3454,7 @@ def p_tf_port_item_2(p):
     if(parse_debug):
         print('tf_port_item_2', list(p))
 
+
     # { yyerror(@3, "error: Error in task/function port item after port name %s.", p[3]);
     #  yyerrok;
     #  p[0] = None
@@ -3272,6 +3467,7 @@ def p_tf_port_item_expr_opt_1(p):
     if(parse_debug):
         print('tf_port_item_expr_opt_1', list(p))
 
+
     # { if (! gn_system_verilog()) {
     #        yyerror(@1, "error: Task/function default arguments require "
     #                    "SystemVerilog.");
@@ -3286,6 +3482,7 @@ def p_tf_port_item_expr_opt_2(p):
     if(parse_debug):
         print('tf_port_item_expr_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3303,6 +3500,7 @@ def p_tf_port_list_1(p):
 def p__embed0_tf_port_list(p):
     '''_embed0_tf_port_list : '''
 
+
     # { port_declaration_context.port_type = gn_system_verilog() ? NetNet::PINPUT : NetNet::PIMPLICIT;
     #  port_declaration_context.data_type = 0;
     #       }
@@ -3314,6 +3512,7 @@ def p_tf_port_item_list_1(p):
     if(parse_debug):
         print('tf_port_item_list_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp;
     #  if (p[1] && p[3]) {
     #        size_t s1 = p[1]->size();
@@ -3347,6 +3546,7 @@ def p_tf_port_item_list_3(p):
     if(parse_debug):
         print('tf_port_item_list_3', list(p))
 
+
     # { yyerror(@2, "error: Syntax error in task/function port declaration.");
     #  p[0] = p[3];
     #       }
@@ -3358,6 +3558,7 @@ def p_tf_port_item_list_4(p):
     if(parse_debug):
         print('tf_port_item_list_4', list(p))
 
+
     # { yyerror(@2, "error: NULL port declarations are not allowed.");
     #  p[0] = p[1];
     #       }
@@ -3369,6 +3570,7 @@ def p_tf_port_item_list_5(p):
     if(parse_debug):
         print('tf_port_item_list_5', list(p))
 
+
     # { yyerror(@2, "error: ';' is an invalid port declaration separator.");
     #  p[0] = p[1];
     #       }
@@ -3380,6 +3582,7 @@ def p_timeunits_declaration_1(p):
     if(parse_debug):
         print('timeunits_declaration_1', list(p))
 
+
     # { pform_set_timeunit(p[2], allow_timeunit_decl); }
 ()
 
@@ -3389,6 +3592,7 @@ def p_timeunits_declaration_2(p):
     if(parse_debug):
         print('timeunits_declaration_2', list(p))
 
+
     # { bool initial_decl = allow_timeunit_decl && allow_timeprec_decl;
     #         pform_set_timeunit(p[2], initial_decl);
     #         pform_set_timeprec(p[4], initial_decl);
@@ -3401,6 +3605,7 @@ def p_timeunits_declaration_3(p):
     if(parse_debug):
         print('timeunits_declaration_3', list(p))
 
+
     # { pform_set_timeprec(p[2], allow_timeprec_decl); }
 ()
 
@@ -3437,6 +3642,7 @@ def p_value_range_1(p):
     if(parse_debug):
         print('value_range_1', list(p))
 
+
     # { }
 ()
 
@@ -3446,6 +3652,7 @@ def p_value_range_2(p):
     if(parse_debug):
         print('value_range_2', list(p))
 
+
     # { }
 ()
 
@@ -3479,6 +3686,7 @@ def p_variable_dimension_2(p):
     if(parse_debug):
         print('variable_dimension_2', list(p))
 
+
     # { // SystemVerilog canonical range
     #  if (!gn_system_verilog()) {
     #        warn_count += 1;
@@ -3500,6 +3708,7 @@ def p_variable_dimension_3(p):
     if(parse_debug):
         print('variable_dimension_3', list(p))
 
+
     # { list<pform_range_t> *tmp = new list<pform_range_t>;
     #  pform_range_t index (0,0);
     #  tmp->push_back(index);
@@ -3513,6 +3722,7 @@ def p_variable_dimension_4(p):
     if(parse_debug):
         print('variable_dimension_4', list(p))
 
+
     # { // SystemVerilog queue
     #  list<pform_range_t> *tmp = new list<pform_range_t>;
     #  pform_range_t index (new PENull,0);
@@ -3530,6 +3740,7 @@ def p_variable_lifetime_1(p):
     if(parse_debug):
         print('variable_lifetime_1', list(p))
 
+
     # { if (!gn_system_verilog()) {
     #        yyerror(@1, "error: overriding the default variable lifetime "
     #                    "requires SystemVerilog.");
@@ -3557,6 +3768,7 @@ def p_attribute_list_opt_2(p):
     if(parse_debug > 2):
         print('attribute_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3566,6 +3778,7 @@ def p_attribute_instance_list_1(p):
     if(parse_debug):
         print('attribute_instance_list_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3595,6 +3808,7 @@ def p_attribute_instance_list_4(p):
     if(parse_debug):
         print('attribute_instance_list_4', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #  if (tmp) {
     #      tmp->splice(tmp->end(), *p[3]);
@@ -3610,6 +3824,7 @@ def p_attribute_list_1(p):
     if(parse_debug):
         print('attribute_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #         tmp->push_back(*p[3]);
     #  delete p[3];
@@ -3623,6 +3838,7 @@ def p_attribute_list_2(p):
     if(parse_debug):
         print('attribute_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #         tmp->push_back(*p[1]);
     #  delete p[1];
@@ -3636,6 +3852,7 @@ def p_attribute_1(p):
     if(parse_debug):
         print('attribute_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[1]);
     #            tmp->parm = 0;
@@ -3650,6 +3867,7 @@ def p_attribute_2(p):
     if(parse_debug):
         print('attribute_2', list(p))
 
+
     # { PExpr*tmp = p[3];
     #            named_pexpr_t*tmp2 = new named_pexpr_t;
     #            tmp2->name = lex_strings.make(p[1]);
@@ -3665,6 +3883,7 @@ def p_block_item_decl_1(p):
     if(parse_debug):
         print('block_item_decl_1', list(p))
 
+
     # { if (p[1]) pform_set_data_type(@1, p[1], p[2], NetNet::REG, attributes_in_context);
     #       }
 ()
@@ -3675,6 +3894,7 @@ def p_block_item_decl_2(p):
     if(parse_debug):
         print('block_item_decl_2', list(p))
 
+
     # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context);
     #  var_lifetime = LexicalScope::INHERITED;
     #       }
@@ -3686,6 +3906,7 @@ def p_block_item_decl_3(p):
     if(parse_debug):
         print('block_item_decl_3', list(p))
 
+
     # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context);
     #       }
 ()
@@ -3696,6 +3917,7 @@ def p_block_item_decl_4(p):
     if(parse_debug):
         print('block_item_decl_4', list(p))
 
+
     # { if (p[3]) pform_set_data_type(@3, p[3], p[4], NetNet::REG, attributes_in_context);
     #  var_lifetime = LexicalScope::INHERITED;
     #       }
@@ -3707,6 +3929,7 @@ def p_block_item_decl_5(p):
     if(parse_debug):
         print('block_item_decl_5', list(p))
 
+
     # { if (p[2]) pform_make_events(p[2], @1.text, @1.first_line);
     #       }
 ()
@@ -3744,6 +3967,7 @@ def p_block_item_decl_9(p):
     if(parse_debug):
         print('block_item_decl_9', list(p))
 
+
     # { yyerror(@1, "error: syntax error in integer variable list.");
     #  yyerrok;
     #       }
@@ -3755,6 +3979,7 @@ def p_block_item_decl_10(p):
     if(parse_debug):
         print('block_item_decl_10', list(p))
 
+
     # { yyerror(@1, "error: syntax error in time variable list.");
     #  yyerrok;
     #       }
@@ -3766,6 +3991,7 @@ def p_block_item_decl_11(p):
     if(parse_debug):
         print('block_item_decl_11', list(p))
 
+
     # { yyerror(@1, "error: syntax error in parameter list.");
     #  yyerrok;
     #       }
@@ -3777,6 +4003,7 @@ def p_block_item_decl_12(p):
     if(parse_debug):
         print('block_item_decl_12', list(p))
 
+
     # { yyerror(@1, "error: syntax error localparam list.");
     #  yyerrok;
     #       }
@@ -3826,6 +4053,7 @@ def p_type_declaration_1(p):
     if(parse_debug):
         print('type_declaration_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[3]);
     #  pform_set_typedef(name, p[2], p[4]);
     #  delete[]p[3];
@@ -3838,6 +4066,7 @@ def p_type_declaration_2(p):
     if(parse_debug):
         print('type_declaration_2', list(p))
 
+
     # { perm_string name = lex_strings.make(p[3].text);
     #  if (pform_test_type_identifier_local(name)) {
     #        yyerror(@3, "error: Typedef identifier \"%s\" is already a type name.", p[3].text);
@@ -3855,6 +4084,7 @@ def p_type_declaration_3(p):
     if(parse_debug):
         print('type_declaration_3', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[3]);
@@ -3871,6 +4101,7 @@ def p_type_declaration_4(p):
     if(parse_debug):
         print('type_declaration_4', list(p))
 
+
     # { yyerror(@1, "sorry: Enum forward declarations not supported yet."); }
 ()
 
@@ -3880,6 +4111,7 @@ def p_type_declaration_5(p):
     if(parse_debug):
         print('type_declaration_5', list(p))
 
+
     # { yyerror(@1, "sorry: Struct forward declarations not supported yet."); }
 ()
 
@@ -3889,6 +4121,7 @@ def p_type_declaration_6(p):
     if(parse_debug):
         print('type_declaration_6', list(p))
 
+
     # { yyerror(@1, "sorry: Union forward declarations not supported yet."); }
 ()
 
@@ -3898,6 +4131,7 @@ def p_type_declaration_7(p):
     if(parse_debug):
         print('type_declaration_7', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[2]);
@@ -3914,6 +4148,7 @@ def p_type_declaration_8(p):
     if(parse_debug):
         print('type_declaration_8', list(p))
 
+
     # { yyerror(@2, "error: Syntax error in typedef clause.");
     #  yyerrok;
     #       }
@@ -3925,6 +4160,7 @@ def p_enum_data_type_1(p):
     if(parse_debug):
         print('enum_data_type_1', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[3]);
@@ -3942,6 +4178,7 @@ def p_enum_data_type_2(p):
     if(parse_debug):
         print('enum_data_type_2', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[5]);
@@ -3959,6 +4196,7 @@ def p_enum_data_type_3(p):
     if(parse_debug):
         print('enum_data_type_3', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[5]);
@@ -3976,6 +4214,7 @@ def p_enum_data_type_4(p):
     if(parse_debug):
         print('enum_data_type_4', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -3993,6 +4232,7 @@ def p_enum_data_type_5(p):
     if(parse_debug):
         print('enum_data_type_5', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -4010,6 +4250,7 @@ def p_enum_data_type_6(p):
     if(parse_debug):
         print('enum_data_type_6', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -4027,6 +4268,7 @@ def p_enum_name_list_1(p):
     if(parse_debug):
         print('enum_name_list_1', list(p))
 
+
     # { p[0] = p[1];
     #       }
 ()
@@ -4037,6 +4279,7 @@ def p_enum_name_list_2(p):
     if(parse_debug):
         print('enum_name_list_2', list(p))
 
+
     # { list<named_pexpr_t>*lst = p[1];
     #  lst->splice(lst->end(), *p[3]);
     #  delete p[3];
@@ -4050,6 +4293,7 @@ def p_pos_neg_number_1(p):
     if(parse_debug):
         print('pos_neg_number_1', list(p))
 
+
     # { p[0] = p[1];
     #       }
 ()
@@ -4060,6 +4304,7 @@ def p_pos_neg_number_2(p):
     if(parse_debug):
         print('pos_neg_number_2', list(p))
 
+
     # { verinum tmp = -(*(p[2]));
     #  *(p[2]) = tmp;
     #  p[0] = p[2];
@@ -4072,6 +4317,7 @@ def p_enum_name_1(p):
     if(parse_debug):
         print('enum_name_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  delete[]p[1];
     #  p[0] = make_named_number(name);
@@ -4084,6 +4330,7 @@ def p_enum_name_2(p):
     if(parse_debug):
         print('enum_name_2', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  long count = check_enum_seq_value(@1, p[3], false);
     #  delete[]p[1];
@@ -4098,6 +4345,7 @@ def p_enum_name_3(p):
     if(parse_debug):
         print('enum_name_3', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true),
     #                                check_enum_seq_value(@1, p[5], true));
@@ -4113,6 +4361,7 @@ def p_enum_name_4(p):
     if(parse_debug):
         print('enum_name_4', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  delete[]p[1];
     #  p[0] = make_named_number(name, p[3]);
@@ -4125,6 +4374,7 @@ def p_enum_name_5(p):
     if(parse_debug):
         print('enum_name_5', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  long count = check_enum_seq_value(@1, p[3], false);
     #  p[0] = make_named_numbers(name, 0, count-1, p[6]);
@@ -4139,6 +4389,7 @@ def p_enum_name_6(p):
     if(parse_debug):
         print('enum_name_6', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true),
     #                                check_enum_seq_value(@1, p[5], true), p[8]);
@@ -4154,6 +4405,7 @@ def p_struct_data_type_1(p):
     if(parse_debug):
         print('struct_data_type_1', list(p))
 
+
     # { struct_type_t*tmp = new struct_type_t;
     #  FILE_NAME(tmp, @1);
     #  tmp->packed_flag = p[2];
@@ -4169,6 +4421,7 @@ def p_struct_data_type_2(p):
     if(parse_debug):
         print('struct_data_type_2', list(p))
 
+
     # { struct_type_t*tmp = new struct_type_t;
     #  FILE_NAME(tmp, @1);
     #  tmp->packed_flag = p[2];
@@ -4184,6 +4437,7 @@ def p_struct_data_type_3(p):
     if(parse_debug):
         print('struct_data_type_3', list(p))
 
+
     # { yyerror(@3, "error: Errors in struct member list.");
     #  yyerrok;
     #  struct_type_t*tmp = new struct_type_t;
@@ -4200,6 +4454,7 @@ def p_struct_data_type_4(p):
     if(parse_debug):
         print('struct_data_type_4', list(p))
 
+
     # { yyerror(@3, "error: Errors in union member list.");
     #  yyerrok;
     #  struct_type_t*tmp = new struct_type_t;
@@ -4216,6 +4471,7 @@ def p_struct_union_member_list_1(p):
     if(parse_debug):
         print('struct_union_member_list_1', list(p))
 
+
     # { list<struct_member_t*>*tmp = p[1];
     #  tmp->push_back(p[2]);
     #  p[0] = tmp;
@@ -4228,6 +4484,7 @@ def p_struct_union_member_list_2(p):
     if(parse_debug):
         print('struct_union_member_list_2', list(p))
 
+
     # { list<struct_member_t*>*tmp = new list<struct_member_t*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -4240,6 +4497,7 @@ def p_struct_union_member_1(p):
     if(parse_debug):
         print('struct_union_member_1', list(p))
 
+
     # { struct_member_t*tmp = new struct_member_t;
     #  FILE_NAME(tmp, @2);
     #  tmp->type  .reset(p[2]);
@@ -4254,6 +4512,7 @@ def p_struct_union_member_2(p):
     if(parse_debug):
         print('struct_union_member_2', list(p))
 
+
     # { yyerror(@2, "Error in struct/union member.");
     #  yyerrok;
     #  p[0] = None
@@ -4266,6 +4525,7 @@ def p_case_item_1(p):
     if(parse_debug):
         print('case_item_1', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->expr = *p[1];
     #            tmp->stat = p[3];
@@ -4280,6 +4540,7 @@ def p_case_item_2(p):
     if(parse_debug):
         print('case_item_2', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->stat = p[3];
     #            p[0] = tmp;
@@ -4292,6 +4553,7 @@ def p_case_item_3(p):
     if(parse_debug):
         print('case_item_3', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->stat = p[2];
     #            p[0] = tmp;
@@ -4304,6 +4566,7 @@ def p_case_item_4(p):
     if(parse_debug):
         print('case_item_4', list(p))
 
+
     # { yyerror(@2, "error: Incomprehensible case expression.");
     #            yyerrok;
     #          }
@@ -4315,6 +4578,7 @@ def p_case_items_1(p):
     if(parse_debug):
         print('case_items_1', list(p))
 
+
     # { svector<PCase::Item*>*tmp;
     #            tmp = new svector<PCase::Item*>(*p[1], p[2]);
     #            delete p[1];
@@ -4328,6 +4592,7 @@ def p_case_items_2(p):
     if(parse_debug):
         print('case_items_2', list(p))
 
+
     # { svector<PCase::Item*>*tmp = new svector<PCase::Item*>(1);
     #            (*tmp)[0] = p[1];
     #            p[0] = tmp;
@@ -4385,6 +4650,7 @@ def p_defparam_assign_1(p):
     if(parse_debug):
         print('defparam_assign_1', list(p))
 
+
     # { pform_set_defparam(*p[1], p[3]);
     #            delete p[1];
     #          }
@@ -4405,6 +4671,7 @@ def p_defparam_assign_list_2(p):
     if(parse_debug):
         print('defparam_assign_list_2', list(p))
 
+
     # { yyerror(@1, "error: defparam may not include a range.");
     #  delete p[1];
     #       }
@@ -4425,6 +4692,7 @@ def p_delay1_1(p):
     if(parse_debug):
         print('delay1_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[2]);
     #            p[0] = tmp;
@@ -4437,6 +4705,7 @@ def p_delay1_2(p):
     if(parse_debug):
         print('delay1_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -4449,6 +4718,7 @@ def p_delay3_1(p):
     if(parse_debug):
         print('delay3_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[2]);
     #            p[0] = tmp;
@@ -4461,6 +4731,7 @@ def p_delay3_2(p):
     if(parse_debug):
         print('delay3_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -4473,6 +4744,7 @@ def p_delay3_3(p):
     if(parse_debug):
         print('delay3_3', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            tmp->push_back(p[5]);
@@ -4486,6 +4758,7 @@ def p_delay3_4(p):
     if(parse_debug):
         print('delay3_4', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            tmp->push_back(p[5]);
@@ -4510,6 +4783,7 @@ def p_delay3_opt_2(p):
     if(parse_debug > 2):
         print('delay3_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -4519,6 +4793,7 @@ def p_delay_value_list_1(p):
     if(parse_debug):
         print('delay_value_list_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -4531,6 +4806,7 @@ def p_delay_value_list_2(p):
     if(parse_debug):
         print('delay_value_list_2', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -4543,6 +4819,7 @@ def p_delay_value_1(p):
     if(parse_debug):
         print('delay_value_1', list(p))
 
+
     # { PExpr*tmp = p[1];
     #            p[0] = tmp;
     #          }
@@ -4554,6 +4831,7 @@ def p_delay_value_2(p):
     if(parse_debug):
         print('delay_value_2', list(p))
 
+
     # { p[0] = pform_select_mtm_expr(p[1], p[3], p[5]); }
 ()
 
@@ -4563,6 +4841,7 @@ def p_delay_value_simple_1(p):
     if(parse_debug):
         print('delay_value_simple_1', list(p))
 
+
     # { verinum*tmp = p[1];
     #            if (tmp == 0) {
     #                  yyerror(@1, "internal error: delay.");
@@ -4581,6 +4860,7 @@ def p_delay_value_simple_2(p):
     if(parse_debug):
         print('delay_value_simple_2', list(p))
 
+
     # { verireal*tmp = p[1];
     #            if (tmp == 0) {
     #                  yyerror(@1, "internal error: delay.");
@@ -4598,6 +4878,7 @@ def p_delay_value_simple_3(p):
     if(parse_debug):
         print('delay_value_simple_3', list(p))
 
+
     # { PEIdent*tmp = new PEIdent(lex_strings.make(p[1]));
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -4611,6 +4892,7 @@ def p_delay_value_simple_4(p):
     if(parse_debug):
         print('delay_value_simple_4', list(p))
 
+
     # { int unit;
     #
     #            based_size = 0;
@@ -4653,6 +4935,7 @@ def p_discipline_declaration_1(p):
     if(parse_debug):
         print('discipline_declaration_1', list(p))
 
+
     # { pform_end_discipline(@1); delete[] p[2]; }
 ()
 
@@ -4660,6 +4943,7 @@ def p_discipline_declaration_1(p):
 def p__embed0_discipline_declaration(p):
     '''_embed0_discipline_declaration : '''
 
+
     # { pform_start_discipline(p[2]); }
 ()
 
@@ -4687,6 +4971,7 @@ def p_discipline_item_1(p):
     if(parse_debug):
         print('discipline_item_1', list(p))
 
+
     # { pform_discipline_domain(@1, IVL_DIS_DISCRETE); }
 ()
 
@@ -4696,6 +4981,7 @@ def p_discipline_item_2(p):
     if(parse_debug):
         print('discipline_item_2', list(p))
 
+
     # { pform_discipline_domain(@1, IVL_DIS_CONTINUOUS); }
 ()
 
@@ -4705,6 +4991,7 @@ def p_discipline_item_3(p):
     if(parse_debug):
         print('discipline_item_3', list(p))
 
+
     # { pform_discipline_potential(@1, p[2]); delete[] p[2]; }
 ()
 
@@ -4714,6 +5001,7 @@ def p_discipline_item_4(p):
     if(parse_debug):
         print('discipline_item_4', list(p))
 
+
     # { pform_discipline_flow(@1, p[2]); delete[] p[2]; }
 ()
 
@@ -4723,6 +5011,7 @@ def p_nature_declaration_1(p):
     if(parse_debug):
         print('nature_declaration_1', list(p))
 
+
     # { pform_end_nature(@1); delete[] p[2]; }
 ()
 
@@ -4730,6 +5019,7 @@ def p_nature_declaration_1(p):
 def p__embed0_nature_declaration(p):
     '''_embed0_nature_declaration : '''
 
+
     # { pform_start_nature(p[2]); }
 ()
 
@@ -4757,6 +5047,7 @@ def p_nature_item_1(p):
     if(parse_debug):
         print('nature_item_1', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4775,6 +5066,7 @@ def p_nature_item_3(p):
     if(parse_debug):
         print('nature_item_3', list(p))
 
+
     # { pform_nature_access(@1, p[3]); delete[] p[3]; }
 ()
 
@@ -4784,6 +5076,7 @@ def p_nature_item_4(p):
     if(parse_debug):
         print('nature_item_4', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4793,6 +5086,7 @@ def p_nature_item_5(p):
     if(parse_debug):
         print('nature_item_5', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4802,6 +5096,7 @@ def p_config_declaration_1(p):
     if(parse_debug):
         print('config_declaration_1', list(p))
 
+
     # { cerr << @1 << ": sorry: config declarations are not supported and "
     #                 "will be skipped." << endl;
     #  delete[] p[2];
@@ -4859,6 +5154,7 @@ def p_config_rule_statement_2(p):
     if(parse_debug):
         print('config_rule_statement_2', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -4868,6 +5164,7 @@ def p_config_rule_statement_3(p):
     if(parse_debug):
         print('config_rule_statement_3', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -4913,6 +5210,7 @@ def p_lib_cell_id_1(p):
     if(parse_debug):
         print('lib_cell_id_1', list(p))
 
+
     # { delete[] p[1]; }
 ()
 
@@ -4922,6 +5220,7 @@ def p_lib_cell_id_2(p):
     if(parse_debug):
         print('lib_cell_id_2', list(p))
 
+
     # { delete[] p[1]; delete[] p[3]; }
 ()
 
@@ -4940,6 +5239,7 @@ def p_list_of_libraries_2(p):
     if(parse_debug):
         print('list_of_libraries_2', list(p))
 
+
     # { delete[] p[2]; }
 ()
 
@@ -4949,6 +5249,7 @@ def p_drive_strength_1(p):
     if(parse_debug):
         print('drive_strength_1', list(p))
 
+
     # { p[0].str0 = p[2].str0;
     #            p[0].str1 = p[4].str1;
     #          }
@@ -4960,6 +5261,7 @@ def p_drive_strength_2(p):
     if(parse_debug):
         print('drive_strength_2', list(p))
 
+
     # { p[0].str0 = p[4].str0;
     #            p[0].str1 = p[2].str1;
     #          }
@@ -4971,6 +5273,7 @@ def p_drive_strength_3(p):
     if(parse_debug):
         print('drive_strength_3', list(p))
 
+
     # { p[0].str0 = p[2].str0;
     #            p[0].str1 = IVL_DR_HiZ;
     #          }
@@ -4982,6 +5285,7 @@ def p_drive_strength_4(p):
     if(parse_debug):
         print('drive_strength_4', list(p))
 
+
     # { p[0].str0 = IVL_DR_HiZ;
     #            p[0].str1 = p[2].str1;
     #          }
@@ -4993,6 +5297,7 @@ def p_drive_strength_5(p):
     if(parse_debug):
         print('drive_strength_5', list(p))
 
+
     # { p[0].str0 = p[4].str0;
     #            p[0].str1 = IVL_DR_HiZ;
     #          }
@@ -5004,6 +5309,7 @@ def p_drive_strength_6(p):
     if(parse_debug):
         print('drive_strength_6', list(p))
 
+
     # { p[0].str0 = IVL_DR_HiZ;
     #            p[0].str1 = p[4].str1;
     #          }
@@ -5025,6 +5331,7 @@ def p_drive_strength_opt_2(p):
     if(parse_debug > 2):
         print('drive_strength_opt_2', list(p))
 
+
     # { p[0].str0 = IVL_DR_STRONG; p[0].str1 = IVL_DR_STRONG; }
 ()
 
@@ -5034,6 +5341,7 @@ def p_dr_strength0_1(p):
     if(parse_debug):
         print('dr_strength0_1', list(p))
 
+
     # { p[0].str0 = IVL_DR_SUPPLY; }
 ()
 
@@ -5043,6 +5351,7 @@ def p_dr_strength0_2(p):
     if(parse_debug):
         print('dr_strength0_2', list(p))
 
+
     # { p[0].str0 = IVL_DR_STRONG; }
 ()
 
@@ -5052,6 +5361,7 @@ def p_dr_strength0_3(p):
     if(parse_debug):
         print('dr_strength0_3', list(p))
 
+
     # { p[0].str0 = IVL_DR_PULL; }
 ()
 
@@ -5061,6 +5371,7 @@ def p_dr_strength0_4(p):
     if(parse_debug):
         print('dr_strength0_4', list(p))
 
+
     # { p[0].str0 = IVL_DR_WEAK; }
 ()
 
@@ -5070,6 +5381,7 @@ def p_dr_strength1_1(p):
     if(parse_debug):
         print('dr_strength1_1', list(p))
 
+
     # { p[0].str1 = IVL_DR_SUPPLY; }
 ()
 
@@ -5079,6 +5391,7 @@ def p_dr_strength1_2(p):
     if(parse_debug):
         print('dr_strength1_2', list(p))
 
+
     # { p[0].str1 = IVL_DR_STRONG; }
 ()
 
@@ -5088,6 +5401,7 @@ def p_dr_strength1_3(p):
     if(parse_debug):
         print('dr_strength1_3', list(p))
 
+
     # { p[0].str1 = IVL_DR_PULL; }
 ()
 
@@ -5097,6 +5411,7 @@ def p_dr_strength1_4(p):
     if(parse_debug):
         print('dr_strength1_4', list(p))
 
+
     # { p[0].str1 = IVL_DR_WEAK; }
 ()
 
@@ -5124,6 +5439,7 @@ def p_event_control_1(p):
     if(parse_debug):
         print('event_control_1', list(p))
 
+
     # { PEIdent*tmpi = new PEIdent(*p[2]);
     #            PEEvent*tmpe = new PEEvent(PEEvent::ANYEDGE, tmpi);
     #            PEventStatement*tmps = new PEventStatement(tmpe);
@@ -5139,6 +5455,7 @@ def p_event_control_2(p):
     if(parse_debug):
         print('event_control_2', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement(*p[3]);
     #            FILE_NAME(tmp, @1);
     #            delete p[3];
@@ -5152,6 +5469,7 @@ def p_event_control_3(p):
     if(parse_debug):
         print('event_control_3', list(p))
 
+
     # { yyerror(@1, "error: Malformed event control expression.");
     #            p[0] = None
     #          }
@@ -5173,6 +5491,7 @@ def p_event_expression_list_2(p):
     if(parse_debug):
         print('event_expression_list_2', list(p))
 
+
     # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*p[1], *p[3]);
     #            delete p[1];
     #            delete p[3];
@@ -5186,6 +5505,7 @@ def p_event_expression_list_3(p):
     if(parse_debug):
         print('event_expression_list_3', list(p))
 
+
     # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*p[1], *p[3]);
     #            delete p[1];
     #            delete p[3];
@@ -5199,6 +5519,7 @@ def p_event_expression_1(p):
     if(parse_debug):
         print('event_expression_1', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::POSEDGE, p[2]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5213,6 +5534,7 @@ def p_event_expression_2(p):
     if(parse_debug):
         print('event_expression_2', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::NEGEDGE, p[2]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5227,6 +5549,7 @@ def p_event_expression_3(p):
     if(parse_debug):
         print('event_expression_3', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::ANYEDGE, p[1]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5241,6 +5564,7 @@ def p_branch_probe_expression_1(p):
     if(parse_debug):
         print('branch_probe_expression_1', list(p))
 
+
     # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3], p[5]); }
 ()
 
@@ -5250,6 +5574,7 @@ def p_branch_probe_expression_2(p):
     if(parse_debug):
         print('branch_probe_expression_2', list(p))
 
+
     # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3]); }
 ()
 
@@ -5318,6 +5643,7 @@ def p_expression_5(p):
 
     p[0] = PEUnary(Leaf(token.MINUS, '-'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('-', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5332,6 +5658,7 @@ def p_expression_6(p):
 
     p[0] = PEUnary(Leaf(token.TILDE, '~'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('~', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5346,6 +5673,7 @@ def p_expression_7(p):
 
     p[0] = PEUnary(Leaf(token.AMPER, '&'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('&', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5360,6 +5688,7 @@ def p_expression_8(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '!'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('!', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5374,6 +5703,7 @@ def p_expression_9(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '|'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('|', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5388,6 +5718,7 @@ def p_expression_10(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '^'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('^', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5400,6 +5731,7 @@ def p_expression_11(p):
     if(parse_debug):
         print('expression_11', list(p))
 
+
     # { yyerror(@1, "error: '~' '&'  is not a valid expression. "
     #          "Please use operator '~&' instead.");
     #  p[0] = None
@@ -5412,6 +5744,7 @@ def p_expression_12(p):
     if(parse_debug):
         print('expression_12', list(p))
 
+
     # { yyerror(@1, "error: '~' '|'  is not a valid expression. "
     #          "Please use operator '~|' instead.");
     #  p[0] = None
@@ -5424,6 +5757,7 @@ def p_expression_13(p):
     if(parse_debug):
         print('expression_13', list(p))
 
+
     # { yyerror(@1, "error: '~' '^'  is not a valid expression. "
     #          "Please use operator '~^' instead.");
     #  p[0] = None
@@ -5438,6 +5772,7 @@ def p_expression_14(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NAND'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('A', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5452,6 +5787,7 @@ def p_expression_15(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NOR'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('N', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5466,6 +5802,7 @@ def p_expression_16(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NXOR'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('X', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5478,6 +5815,7 @@ def p_expression_17(p):
     if(parse_debug):
         print('expression_17', list(p))
 
+
     # { yyerror(@1, "error: Operand of unary ! "
     #          "is not a primary expression.");
     #  p[0] = None
@@ -5490,6 +5828,7 @@ def p_expression_18(p):
     if(parse_debug):
         print('expression_18', list(p))
 
+
     # { yyerror(@1, "error: Operand of reduction ^ "
     #          "is not a primary expression.");
     #  p[0] = None
@@ -5504,6 +5843,7 @@ def p_expression_19(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '^'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('^', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5518,6 +5858,7 @@ def p_expression_20(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '**'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBPower('p', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5532,6 +5873,7 @@ def p_expression_21(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '*'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('*', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5546,6 +5888,7 @@ def p_expression_22(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '/'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('/', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5560,6 +5903,7 @@ def p_expression_23(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '%'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('%', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5603,6 +5947,7 @@ def p_expression_26(p):
 
     p[0] = PEBinary(Leaf(token.AMPER, '&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('&', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5617,7 +5962,6 @@ def p_expression_27(p):
 
     p[0] = PEBinary(Leaf(token.VBAR, '|'), p[1], p[4])
 
-
     # { PEBinary*tmp = new PEBinary('|', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5632,6 +5976,7 @@ def p_expression_28(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '~&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('A', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5646,6 +5991,7 @@ def p_expression_29(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '~|'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('O', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5660,6 +6006,7 @@ def p_expression_30(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_XNOR'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('X', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5674,6 +6021,7 @@ def p_expression_31(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '<'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('<', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5688,6 +6036,7 @@ def p_expression_32(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '>'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('>', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5702,6 +6051,7 @@ def p_expression_33(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_LS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('l', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5716,6 +6066,7 @@ def p_expression_34(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_RS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('r', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5730,6 +6081,7 @@ def p_expression_35(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_RSS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('R', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5744,6 +6096,7 @@ def p_expression_36(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '=='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('e', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5758,6 +6111,7 @@ def p_expression_37(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_CEQ'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('E', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5772,6 +6126,7 @@ def p_expression_38(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_WEQ'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('w', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5786,6 +6141,7 @@ def p_expression_39(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '<='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('L', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5800,6 +6156,7 @@ def p_expression_40(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '>='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('G', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5814,6 +6171,7 @@ def p_expression_41(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '!='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('n', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5828,6 +6186,7 @@ def p_expression_42(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_CNE'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('N', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5842,6 +6201,7 @@ def p_expression_43(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_WNE'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('W', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5856,6 +6216,7 @@ def p_expression_44(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '||'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBLogic('o', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5870,6 +6231,7 @@ def p_expression_45(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '&&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBLogic('a', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5885,6 +6247,7 @@ def p_expression_46(p):
     p[0] = Node(syms.atom, [p[1], Leaf(token.STRING, ' ? '),
                             p[4], Leaf(token.STRING, ' : '), p[6]])
 
+
     # { PETernary*tmp = new PETernary(p[1], p[4], p[6]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5907,6 +6270,7 @@ def p_expr_mintypmax_2(p):
     if(parse_debug):
         print('expr_mintypmax_2', list(p))
 
+
     # { switch (min_typ_max_flag) {
     #                case MIN:
     #                  p[0] = p[1];
@@ -5949,6 +6313,7 @@ def p_expression_list_with_nuls_1(p):
     if(parse_debug):
         print('expression_list_with_nuls_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -5961,6 +6326,7 @@ def p_expression_list_with_nuls_2(p):
     if(parse_debug):
         print('expression_list_with_nuls_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -5973,6 +6339,7 @@ def p_expression_list_with_nuls_3(p):
     if(parse_debug):
         print('expression_list_with_nuls_3', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #         tmp->push_back(0);
     #  p[0] = tmp;
@@ -5985,6 +6352,7 @@ def p_expression_list_with_nuls_4(p):
     if(parse_debug):
         print('expression_list_with_nuls_4', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(0);
     #  p[0] = tmp;
@@ -5997,6 +6365,7 @@ def p_expression_list_proper_1(p):
     if(parse_debug):
         print('expression_list_proper_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #         tmp->push_back(p[3]);
     #         p[0] = tmp;
@@ -6009,6 +6378,7 @@ def p_expression_list_proper_2(p):
     if(parse_debug):
         print('expression_list_proper_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -6032,6 +6402,7 @@ def p_expr_primary_or_typename_2(p):
         print('expr_primary_or_typename_2', list(p))
     p[0] = p[1]
 
+
     # { PETypename*tmp = new PETypename(p[1].type);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6046,6 +6417,7 @@ def p_expr_primary_1(p):
         print('expr_primary_1', list(p))
     p[0] = p[1]
 
+
     # { assert(p[1]);
     #  PENumber*tmp = new PENumber(p[1]);
     #  FILE_NAME(tmp, @1);
@@ -6059,6 +6431,7 @@ def p_expr_primary_2(p):
     if(parse_debug):
         print('expr_primary_2', list(p))
 
+
     # { PEFNumber*tmp = new PEFNumber(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6071,6 +6444,7 @@ def p_expr_primary_3(p):
     if(parse_debug):
         print('expr_primary_3', list(p))
 
+
     # { PEString*tmp = new PEString(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6083,6 +6457,7 @@ def p_expr_primary_4(p):
     if(parse_debug):
         print('expr_primary_4', list(p))
 
+
     # { int unit;
     #
     #           based_size = 0;
@@ -6106,6 +6481,7 @@ def p_expr_primary_5(p):
     if(parse_debug):
         print('expr_primary_5', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  PECallFunction*tmp = new PECallFunction(tn);
     #  FILE_NAME(tmp, @1);
@@ -6121,6 +6497,7 @@ def p_expr_primary_6(p):
         print('expr_primary_6', list(p))
     p[0] = p[1]
 
+
     # { PEIdent*tmp = pform_new_ident(*p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6134,6 +6511,7 @@ def p_expr_primary_7(p):
     if(parse_debug):
         print('expr_primary_7', list(p))
 
+
     # { p[0] = pform_package_ident(@2, p[1], p[3]);
     #  delete p[3];
     #       }
@@ -6145,6 +6523,7 @@ def p_expr_primary_8(p):
     if(parse_debug):
         print('expr_primary_8', list(p))
 
+
     # { list<PExpr*>*expr_list = p[3];
     #  strip_tail_items(expr_list);
     #  PECallFunction*tmp = pform_make_call_function(@1, *p[1], *expr_list);
@@ -6159,6 +6538,7 @@ def p_expr_primary_9(p):
     if(parse_debug):
         print('expr_primary_9', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -6179,6 +6559,7 @@ def p_expr_primary_10(p):
     if(parse_debug):
         print('expr_primary_10', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  PECallFunction*tmp = new PECallFunction(tn, *p[3]);
     #  FILE_NAME(tmp, @1);
@@ -6193,6 +6574,7 @@ def p_expr_primary_11(p):
     if(parse_debug):
         print('expr_primary_11', list(p))
 
+
     # { perm_string use_name = lex_strings.make(p[3]);
     #  PECallFunction*tmp = new PECallFunction(p[1], use_name, *p[5]);
     #  FILE_NAME(tmp, @3);
@@ -6207,6 +6589,7 @@ def p_expr_primary_12(p):
     if(parse_debug):
         print('expr_primary_12', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  const vector<PExpr*>empty;
     #  PECallFunction*tmp = new PECallFunction(tn, empty);
@@ -6225,6 +6608,7 @@ def p_expr_primary_13(p):
     if(parse_debug):
         print('expr_primary_13', list(p))
 
+
     # { PEIdent*tmp = new PEIdent(*p[1]);
     #  FILE_NAME(tmp,@1);
     #  delete p[1];
@@ -6238,6 +6622,7 @@ def p_expr_primary_14(p):
     if(parse_debug):
         print('expr_primary_14', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -6257,6 +6642,7 @@ def p_expr_primary_15(p):
     if(parse_debug):
         print('expr_primary_15', list(p))
 
+
     # { perm_string tn = perm_string::literal("$acos");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6270,6 +6656,7 @@ def p_expr_primary_16(p):
     if(parse_debug):
         print('expr_primary_16', list(p))
 
+
     # { perm_string tn = perm_string::literal("$acosh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6283,6 +6670,7 @@ def p_expr_primary_17(p):
     if(parse_debug):
         print('expr_primary_17', list(p))
 
+
     # { perm_string tn = perm_string::literal("$asin");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6296,6 +6684,7 @@ def p_expr_primary_18(p):
     if(parse_debug):
         print('expr_primary_18', list(p))
 
+
     # { perm_string tn = perm_string::literal("$asinh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6309,6 +6698,7 @@ def p_expr_primary_19(p):
     if(parse_debug):
         print('expr_primary_19', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atan");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6322,6 +6712,7 @@ def p_expr_primary_20(p):
     if(parse_debug):
         print('expr_primary_20', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atanh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6335,6 +6726,7 @@ def p_expr_primary_21(p):
     if(parse_debug):
         print('expr_primary_21', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atan2");
     #  PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6348,6 +6740,7 @@ def p_expr_primary_22(p):
     if(parse_debug):
         print('expr_primary_22', list(p))
 
+
     # { perm_string tn = perm_string::literal("$ceil");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6361,6 +6754,7 @@ def p_expr_primary_23(p):
     if(parse_debug):
         print('expr_primary_23', list(p))
 
+
     # { perm_string tn = perm_string::literal("$cos");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6374,6 +6768,7 @@ def p_expr_primary_24(p):
     if(parse_debug):
         print('expr_primary_24', list(p))
 
+
     # { perm_string tn = perm_string::literal("$cosh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6387,6 +6782,7 @@ def p_expr_primary_25(p):
     if(parse_debug):
         print('expr_primary_25', list(p))
 
+
     # { perm_string tn = perm_string::literal("$exp");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6400,6 +6796,7 @@ def p_expr_primary_26(p):
     if(parse_debug):
         print('expr_primary_26', list(p))
 
+
     # { perm_string tn = perm_string::literal("$floor");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6413,6 +6810,7 @@ def p_expr_primary_27(p):
     if(parse_debug):
         print('expr_primary_27', list(p))
 
+
     # { perm_string tn = perm_string::literal("$hypot");
     #  PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6426,6 +6824,7 @@ def p_expr_primary_28(p):
     if(parse_debug):
         print('expr_primary_28', list(p))
 
+
     # { perm_string tn = perm_string::literal("$ln");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6439,6 +6838,7 @@ def p_expr_primary_29(p):
     if(parse_debug):
         print('expr_primary_29', list(p))
 
+
     # { perm_string tn = perm_string::literal("$log10");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6452,6 +6852,7 @@ def p_expr_primary_30(p):
     if(parse_debug):
         print('expr_primary_30', list(p))
 
+
     # { perm_string tn = perm_string::literal("$pow");
     #         PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6465,6 +6866,7 @@ def p_expr_primary_31(p):
     if(parse_debug):
         print('expr_primary_31', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sin");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6478,6 +6880,7 @@ def p_expr_primary_32(p):
     if(parse_debug):
         print('expr_primary_32', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sinh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6491,6 +6894,7 @@ def p_expr_primary_33(p):
     if(parse_debug):
         print('expr_primary_33', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sqrt");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6504,6 +6908,7 @@ def p_expr_primary_34(p):
     if(parse_debug):
         print('expr_primary_34', list(p))
 
+
     # { perm_string tn = perm_string::literal("$tan");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6517,6 +6922,7 @@ def p_expr_primary_35(p):
     if(parse_debug):
         print('expr_primary_35', list(p))
 
+
     # { perm_string tn = perm_string::literal("$tanh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6530,6 +6936,7 @@ def p_expr_primary_36(p):
     if(parse_debug):
         print('expr_primary_36', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('m', p[3]);
     #         FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6542,6 +6949,7 @@ def p_expr_primary_37(p):
     if(parse_debug):
         print('expr_primary_37', list(p))
 
+
     # { PEBinary*tmp = new PEBinary('M', p[3], p[5]);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6554,6 +6962,7 @@ def p_expr_primary_38(p):
     if(parse_debug):
         print('expr_primary_38', list(p))
 
+
     # { PEBinary*tmp = new PEBinary('m', p[3], p[5]);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6576,6 +6985,7 @@ def p_expr_primary_40(p):
     if(parse_debug):
         print('expr_primary_40', list(p))
 
+
     # { PEConcat*tmp = new PEConcat(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -6589,6 +6999,7 @@ def p_expr_primary_41(p):
     if(parse_debug):
         print('expr_primary_41', list(p))
 
+
     # { PExpr*rep = p[2];
     #  PEConcat*tmp = new PEConcat(*p[4], rep);
     #  FILE_NAME(tmp, @1);
@@ -6603,6 +7014,7 @@ def p_expr_primary_42(p):
     if(parse_debug):
         print('expr_primary_42', list(p))
 
+
     # { PExpr*rep = p[2];
     #  PEConcat*tmp = new PEConcat(*p[4], rep);
     #  FILE_NAME(tmp, @1);
@@ -6620,6 +7032,7 @@ def p_expr_primary_43(p):
     if(parse_debug):
         print('expr_primary_43', list(p))
 
+
     # { // This is the empty queue syntax.
     #  if (gn_system_verilog()) {
     #        list<PExpr*> empty_list;
@@ -6639,6 +7052,7 @@ def p_expr_primary_44(p):
     if(parse_debug):
         print('expr_primary_44', list(p))
 
+
     # { PExpr*base = p[4];
     #  if (gn_system_verilog()) {
     #        PECastSize*tmp = new PECastSize(p[1], base);
@@ -6657,6 +7071,7 @@ def p_expr_primary_45(p):
     if(parse_debug):
         print('expr_primary_45', list(p))
 
+
     # { PExpr*base = p[4];
     #  if (gn_system_verilog()) {
     #        PECastType*tmp = new PECastType(p[1], base);
@@ -6695,6 +7110,7 @@ def p_expr_primary_48(p):
     if(parse_debug):
         print('expr_primary_48', list(p))
 
+
     # { PENull*tmp = new PENull;
     #      FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6717,6 +7133,7 @@ def p_function_item_list_opt_2(p):
     if(parse_debug):
         print('function_item_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -6736,6 +7153,7 @@ def p_function_item_list_2(p):
     if(parse_debug):
         print('function_item_list_2', list(p))
 
+
     # { /* */
     #  if (p[1] && p[2]) {
     #        vector<pform_tf_port_t>*tmp = p[1];
@@ -6769,6 +7187,7 @@ def p_function_item_2(p):
     if(parse_debug):
         print('function_item_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -6778,6 +7197,7 @@ def p_gate_instance_1(p):
     if(parse_debug):
         print('gate_instance_1', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = p[3];
@@ -6794,6 +7214,7 @@ def p_gate_instance_2(p):
     if(parse_debug):
         print('gate_instance_2', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6815,6 +7236,7 @@ def p_gate_instance_3(p):
     if(parse_debug):
         print('gate_instance_3', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = "";
     #            tmp->parms = p[2];
@@ -6830,6 +7252,7 @@ def p_gate_instance_4(p):
     if(parse_debug):
         print('gate_instance_4', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6852,6 +7275,7 @@ def p_gate_instance_5(p):
     if(parse_debug):
         print('gate_instance_5', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  tmp->name = p[1];
     #  tmp->parms = 0;
@@ -6869,6 +7293,7 @@ def p_gate_instance_6(p):
     if(parse_debug):
         print('gate_instance_6', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6891,6 +7316,7 @@ def p_gate_instance_7(p):
     if(parse_debug):
         print('gate_instance_7', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = 0;
@@ -6910,6 +7336,7 @@ def p_gate_instance_8(p):
     if(parse_debug):
         print('gate_instance_8', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = 0;
@@ -6929,6 +7356,7 @@ def p_gate_instance_list_1(p):
     if(parse_debug):
         print('gate_instance_list_1', list(p))
 
+
     # { svector<lgate>*tmp1 = p[1];
     #            lgate*tmp2 = p[3];
     #            svector<lgate>*out = new svector<lgate> (*tmp1, *tmp2);
@@ -6944,6 +7372,7 @@ def p_gate_instance_list_2(p):
     if(parse_debug):
         print('gate_instance_list_2', list(p))
 
+
     # { svector<lgate>*tmp = new svector<lgate>(1);
     #            (*tmp)[0] = *p[1];
     #            delete p[1];
@@ -6957,6 +7386,7 @@ def p_gatetype_1(p):
     if(parse_debug):
         print('gatetype_1', list(p))
 
+
     # { p[0] = PGBuiltin::AND; }
 ()
 
@@ -6966,6 +7396,7 @@ def p_gatetype_2(p):
     if(parse_debug):
         print('gatetype_2', list(p))
 
+
     # { p[0] = PGBuiltin::NAND; }
 ()
 
@@ -6975,6 +7406,7 @@ def p_gatetype_3(p):
     if(parse_debug):
         print('gatetype_3', list(p))
 
+
     # { p[0] = PGBuiltin::OR; }
 ()
 
@@ -6984,6 +7416,7 @@ def p_gatetype_4(p):
     if(parse_debug):
         print('gatetype_4', list(p))
 
+
     # { p[0] = PGBuiltin::NOR; }
 ()
 
@@ -6993,6 +7426,7 @@ def p_gatetype_5(p):
     if(parse_debug):
         print('gatetype_5', list(p))
 
+
     # { p[0] = PGBuiltin::XOR; }
 ()
 
@@ -7002,6 +7436,7 @@ def p_gatetype_6(p):
     if(parse_debug):
         print('gatetype_6', list(p))
 
+
     # { p[0] = PGBuiltin::XNOR; }
 ()
 
@@ -7011,6 +7446,7 @@ def p_gatetype_7(p):
     if(parse_debug):
         print('gatetype_7', list(p))
 
+
     # { p[0] = PGBuiltin::BUF; }
 ()
 
@@ -7020,6 +7456,7 @@ def p_gatetype_8(p):
     if(parse_debug):
         print('gatetype_8', list(p))
 
+
     # { p[0] = PGBuiltin::BUFIF0; }
 ()
 
@@ -7029,6 +7466,7 @@ def p_gatetype_9(p):
     if(parse_debug):
         print('gatetype_9', list(p))
 
+
     # { p[0] = PGBuiltin::BUFIF1; }
 ()
 
@@ -7038,6 +7476,7 @@ def p_gatetype_10(p):
     if(parse_debug):
         print('gatetype_10', list(p))
 
+
     # { p[0] = PGBuiltin::NOT; }
 ()
 
@@ -7047,6 +7486,7 @@ def p_gatetype_11(p):
     if(parse_debug):
         print('gatetype_11', list(p))
 
+
     # { p[0] = PGBuiltin::NOTIF0; }
 ()
 
@@ -7056,6 +7496,7 @@ def p_gatetype_12(p):
     if(parse_debug):
         print('gatetype_12', list(p))
 
+
     # { p[0] = PGBuiltin::NOTIF1; }
 ()
 
@@ -7065,6 +7506,7 @@ def p_switchtype_1(p):
     if(parse_debug):
         print('switchtype_1', list(p))
 
+
     # { p[0] = PGBuiltin::NMOS; }
 ()
 
@@ -7074,6 +7516,7 @@ def p_switchtype_2(p):
     if(parse_debug):
         print('switchtype_2', list(p))
 
+
     # { p[0] = PGBuiltin::RNMOS; }
 ()
 
@@ -7083,6 +7526,7 @@ def p_switchtype_3(p):
     if(parse_debug):
         print('switchtype_3', list(p))
 
+
     # { p[0] = PGBuiltin::PMOS; }
 ()
 
@@ -7092,6 +7536,7 @@ def p_switchtype_4(p):
     if(parse_debug):
         print('switchtype_4', list(p))
 
+
     # { p[0] = PGBuiltin::RPMOS; }
 ()
 
@@ -7101,6 +7546,7 @@ def p_switchtype_5(p):
     if(parse_debug):
         print('switchtype_5', list(p))
 
+
     # { p[0] = PGBuiltin::CMOS; }
 ()
 
@@ -7110,6 +7556,7 @@ def p_switchtype_6(p):
     if(parse_debug):
         print('switchtype_6', list(p))
 
+
     # { p[0] = PGBuiltin::RCMOS; }
 ()
 
@@ -7119,6 +7566,7 @@ def p_switchtype_7(p):
     if(parse_debug):
         print('switchtype_7', list(p))
 
+
     # { p[0] = PGBuiltin::TRAN; }
 ()
 
@@ -7128,6 +7576,7 @@ def p_switchtype_8(p):
     if(parse_debug):
         print('switchtype_8', list(p))
 
+
     # { p[0] = PGBuiltin::RTRAN; }
 ()
 
@@ -7137,6 +7586,7 @@ def p_switchtype_9(p):
     if(parse_debug):
         print('switchtype_9', list(p))
 
+
     # { p[0] = PGBuiltin::TRANIF0; }
 ()
 
@@ -7146,6 +7596,7 @@ def p_switchtype_10(p):
     if(parse_debug):
         print('switchtype_10', list(p))
 
+
     # { p[0] = PGBuiltin::TRANIF1; }
 ()
 
@@ -7155,6 +7606,7 @@ def p_switchtype_11(p):
     if(parse_debug):
         print('switchtype_11', list(p))
 
+
     # { p[0] = PGBuiltin::RTRANIF0; }
 ()
 
@@ -7164,6 +7616,7 @@ def p_switchtype_12(p):
     if(parse_debug):
         print('switchtype_12', list(p))
 
+
     # { p[0] = PGBuiltin::RTRANIF1; }
 ()
 
@@ -7175,6 +7628,7 @@ def p_hierarchy_identifier_1(p):
     lpvalue = Leaf(token.NAME, p[1])
     p[0] = lpvalue
 
+
     # { p[0] = new pform_name_t;
     #    p[0]->push_back(name_component_t(lex_strings.make(p[1])));
     #    delete[]p[1];
@@ -7187,6 +7641,7 @@ def p_hierarchy_identifier_2(p):
     if(parse_debug):
         print('hierarchy_identifier_2', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    tmp->push_back(name_component_t(lex_strings.make(p[3])));
     #    delete[]p[3];
@@ -7200,6 +7655,7 @@ def p_hierarchy_identifier_3(p):
     if(parse_debug):
         print('hierarchy_identifier_3', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7216,6 +7672,7 @@ def p_hierarchy_identifier_4(p):
     if(parse_debug):
         print('hierarchy_identifier_4', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    if (! gn_system_verilog()) {
@@ -7237,6 +7694,7 @@ def p_hierarchy_identifier_5(p):
     if(parse_debug):
         print('hierarchy_identifier_5', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7254,6 +7712,7 @@ def p_hierarchy_identifier_6(p):
     if(parse_debug):
         print('hierarchy_identifier_6', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7271,6 +7730,7 @@ def p_hierarchy_identifier_7(p):
     if(parse_debug):
         print('hierarchy_identifier_7', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7288,6 +7748,7 @@ def p_list_of_identifiers_1(p):
     if(parse_debug):
         print('list_of_identifiers_1', list(p))
 
+
     # { p[0] = list_from_identifier(p[1]); }
 ()
 
@@ -7297,6 +7758,7 @@ def p_list_of_identifiers_2(p):
     if(parse_debug):
         print('list_of_identifiers_2', list(p))
 
+
     # { p[0] = list_from_identifier(p[1], p[3]); }
 ()
 
@@ -7306,6 +7768,7 @@ def p_list_of_port_identifiers_1(p):
     if(parse_debug):
         print('list_of_port_identifiers_1', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], 0); }
 ()
 
@@ -7315,6 +7778,7 @@ def p_list_of_port_identifiers_2(p):
     if(parse_debug):
         print('list_of_port_identifiers_2', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], 0); }
 ()
 
@@ -7324,6 +7788,7 @@ def p_list_of_variable_port_identifiers_1(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_1', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], 0); }
 ()
 
@@ -7333,6 +7798,7 @@ def p_list_of_variable_port_identifiers_2(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_2', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], p[4]); }
 ()
 
@@ -7342,6 +7808,7 @@ def p_list_of_variable_port_identifiers_3(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_3', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], 0); }
 ()
 
@@ -7351,6 +7818,7 @@ def p_list_of_variable_port_identifiers_4(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_4', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], p[6]); }
 ()
 
@@ -7360,6 +7828,7 @@ def p_list_of_ports_1(p):
     if(parse_debug):
         print('list_of_ports_1', list(p))
 
+
     # { vector<Module::port_t*>*tmp
     #                    = new vector<Module::port_t*>(1);
     #            (*tmp)[0] = p[1];
@@ -7373,6 +7842,7 @@ def p_list_of_ports_2(p):
     if(parse_debug):
         print('list_of_ports_2', list(p))
 
+
     # { vector<Module::port_t*>*tmp = p[1];
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -7386,6 +7856,7 @@ def p_list_of_port_declarations_1(p):
         print('list_of_port_declarations_1', list(p))
     p[0] = [p[1]]
 
+
     # { vector<Module::port_t*>*tmp
     #                    = new vector<Module::port_t*>(1);
     #            (*tmp)[0] = p[1];
@@ -7403,6 +7874,7 @@ def p_list_of_port_declarations_2(p):
     p[1].append(p[3])
     p[0] = p[1]
 
+
     # { vector<Module::port_t*>*tmp = p[1];
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -7415,6 +7887,7 @@ def p_list_of_port_declarations_3(p):
     if(parse_debug):
         print('list_of_port_declarations_3', list(p))
 
+
     # { Module::port_t*ptmp;
     #            perm_string name = lex_strings.make(p[3]);
     #            ptmp = pform_module_port_reference(name, @3.text,
@@ -7440,6 +7913,7 @@ def p_list_of_port_declarations_4(p):
     if(parse_debug):
         print('list_of_port_declarations_4', list(p))
 
+
     # {
     #            yyerror(@2, "error: NULL port declarations are not "
     #                        "allowed.");
@@ -7452,6 +7926,7 @@ def p_list_of_port_declarations_5(p):
     if(parse_debug):
         print('list_of_port_declarations_5', list(p))
 
+
     # {
     #            yyerror(@2, "error: ';' is an invalid port declaration "
     #                        "separator.");
@@ -7466,6 +7941,7 @@ def p_port_declaration_1(p):
     comment, dt, name = p[2], p[4], p[5]
     p[0] = absyn.port_decl(comment, dt, name)
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  data_type_t*use_type = p[4];
@@ -7486,6 +7962,7 @@ def p_port_declaration_2(p):
     if(parse_debug):
         print('port_declaration_2', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7508,6 +7985,7 @@ def p_port_declaration_3(p):
     if(parse_debug):
         print('port_declaration_3', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
@@ -7530,6 +8008,7 @@ def p_port_declaration_4(p):
     if(parse_debug):
         print('port_declaration_4', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7554,6 +8033,7 @@ def p_port_declaration_5(p):
     comment, dt, name = p[2], p[4], p[5]
     p[0] = absyn.port_decl(comment, dt, name)
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  data_type_t*use_dtype = p[4];
@@ -7597,6 +8077,7 @@ def p_port_declaration_6(p):
     if(parse_debug):
         print('port_declaration_6', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7619,6 +8100,7 @@ def p_port_declaration_7(p):
     if(parse_debug):
         print('port_declaration_7', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  NetNet::Type use_type = p[3];
@@ -7731,6 +8213,7 @@ def p_atom2_type_1(p):
     if(parse_debug):
         print('atom2_type_1', list(p))
 
+
     # { p[0] = 8; }
 ()
 
@@ -7740,6 +8223,7 @@ def p_atom2_type_2(p):
     if(parse_debug):
         print('atom2_type_2', list(p))
 
+
     # { p[0] = 16; }
 ()
 
@@ -7749,6 +8233,7 @@ def p_atom2_type_3(p):
     if(parse_debug):
         print('atom2_type_3', list(p))
 
+
     # { p[0] = 32; }
 ()
 
@@ -7758,6 +8243,7 @@ def p_atom2_type_4(p):
     if(parse_debug):
         print('atom2_type_4', list(p))
 
+
     # { p[0] = 64; }
 ()
 
@@ -7768,6 +8254,7 @@ def p_lpvalue_1(p):
         print('lpvalue_1', list(p))
     p[0] = p[1]
 
+
     # { PEIdent*tmp = pform_new_ident(*p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -7781,6 +8268,7 @@ def p_lpvalue_2(p):
     if(parse_debug):
         print('lpvalue_2', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (!p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -7800,6 +8288,7 @@ def p_lpvalue_3(p):
     if(parse_debug):
         print('lpvalue_3', list(p))
 
+
     # { PEConcat*tmp = new PEConcat(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -7813,6 +8302,7 @@ def p_lpvalue_4(p):
     if(parse_debug):
         print('lpvalue_4', list(p))
 
+
     # { yyerror(@1, "sorry: streaming concatenation not supported in l-values.");
     #  p[0] = None
     #       }
@@ -7825,6 +8315,7 @@ def p_cont_assign_1(p):
         print('cont_assign_1', list(p))
     absyn.cont_assign_1(p)
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  tmp->push_back(p[3]);
@@ -7838,6 +8329,7 @@ def p_cont_assign_list_1(p):
     if(parse_debug):
         print('cont_assign_list_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->splice(tmp->end(), *p[3]);
     #  delete p[3];
@@ -7870,6 +8362,7 @@ def p_module_1(p):
 def p__embed0_module(p):
     '''_embed0_module : '''
 
+
     # { pform_startmodule(@2, p[4], p[2]==K_program, p[2]==K_interface, p[3], p[1]); }
 ()
 
@@ -7877,6 +8370,7 @@ def p__embed0_module(p):
 def p__embed1_module(p):
     '''_embed1_module : '''
 
+
     # { pform_module_set_ports(p[8]); }
 ()
 
@@ -7884,6 +8378,7 @@ def p__embed1_module(p):
 def p__embed2_module(p):
     '''_embed2_module : '''
 
+
     # { pform_set_scope_timescale(@2); }
 ()
 
@@ -7891,6 +8386,7 @@ def p__embed2_module(p):
 def p__embed3_module(p):
     '''_embed3_module : '''
 
+
     # { Module::UCDriveType ucd;
     #    // The lexor detected `unconnected_drive directives and
     #    // marked what it found in the uc_drive variable. Use that
@@ -7934,6 +8430,7 @@ def p_module_start_1(p):
     if(parse_debug > 1):
         print('module_start_1', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7943,6 +8440,7 @@ def p_module_start_2(p):
     if(parse_debug):
         print('module_start_2', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7952,6 +8450,7 @@ def p_module_start_3(p):
     if(parse_debug):
         print('module_start_3', list(p))
 
+
     # { p[0] = K_program; }
 ()
 
@@ -7961,6 +8460,7 @@ def p_module_start_4(p):
     if(parse_debug):
         print('module_start_4', list(p))
 
+
     # { p[0] = K_interface; }
 ()
 
@@ -7970,6 +8470,7 @@ def p_module_end_1(p):
     if(parse_debug > 2):
         print('module_end_1', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7979,6 +8480,7 @@ def p_module_end_2(p):
     if(parse_debug):
         print('module_end_2', list(p))
 
+
     # { p[0] = K_program; }
 ()
 
@@ -7988,6 +8490,7 @@ def p_module_end_3(p):
     if(parse_debug):
         print('module_end_3', list(p))
 
+
     # { p[0] = K_interface; }
 ()
 
@@ -8007,6 +8510,7 @@ def p_endlabel_opt_2(p):
     if(parse_debug > 2):
         print('endlabel_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8016,6 +8520,7 @@ def p_module_attribute_foreign_1(p):
     if(parse_debug):
         print('module_attribute_foreign_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8025,6 +8530,7 @@ def p_module_attribute_foreign_2(p):
     if(parse_debug > 2):
         print('module_attribute_foreign_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8054,6 +8560,7 @@ def p_module_port_list_opt_3(p):
     if(parse_debug):
         print('module_port_list_opt_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8063,6 +8570,7 @@ def p_module_port_list_opt_4(p):
     if(parse_debug):
         print('module_port_list_opt_4', list(p))
 
+
     # { yyerror(@2, "Errors in port declarations.");
     #  yyerrok;
     #  p[0] = None
@@ -8140,6 +8648,7 @@ def p_module_item_2(p):
     p[0] = absyn.module_item_2(p[2], p[3], p[5])
     #p[0] = ["module_item_2"]+list(p)
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8160,6 +8669,7 @@ def p_module_item_3(p):
     if(parse_debug):
         print('module_item_3', list(p))
 
+
     # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
     #  pform_set_data_type(@2, tmpt, p[4], NetNet::WIRE, p[1]);
     #  if (p[3] != 0) {
@@ -8176,6 +8686,7 @@ def p_module_item_4(p):
     if(parse_debug):
         print('module_item_4', list(p))
 
+
     # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
     #  pform_set_data_type(@2, tmpt, p[3], NetNet::WIRE, p[1]);
     #  delete p[1];
@@ -8188,6 +8699,7 @@ def p_module_item_5(p):
     if(parse_debug):
         print('module_item_5', list(p))
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8208,6 +8720,7 @@ def p_module_item_6(p):
     if(parse_debug):
         print('module_item_6', list(p))
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8228,6 +8741,7 @@ def p_module_item_7(p):
     if(parse_debug):
         print('module_item_7', list(p))
 
+
     # { real_type_t*data_type = new real_type_t(real_type_t::REAL);
     #         pform_makewire(@2, 0, str_strength, p[3], NetNet::WIRE, data_type);
     #  if (p[1]) {
@@ -8244,6 +8758,7 @@ def p_module_item_8(p):
     if(parse_debug):
         print('module_item_8', list(p))
 
+
     # { yyerror(@1, "sorry: trireg nets not supported.");
     #            delete p[3];
     #            delete p[4];
@@ -8256,6 +8771,7 @@ def p_module_item_9(p):
     if(parse_debug):
         print('module_item_9', list(p))
 
+
     # { pform_module_define_port(@2, p[5], p[2], p[3], p[4], p[1]); }
 ()
 
@@ -8265,6 +8781,7 @@ def p_module_item_10(p):
     if(parse_debug):
         print('module_item_10', list(p))
 
+
     # { real_type_t*real_type = new real_type_t(real_type_t::REAL);
     #  pform_module_define_port(@2, p[4], p[2], NetNet::WIRE, real_type, p[1]);
     #       }
@@ -8276,6 +8793,7 @@ def p_module_item_11(p):
     if(parse_debug):
         print('module_item_11', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8294,6 +8812,7 @@ def p_module_item_12(p):
     if(parse_debug):
         print('module_item_12', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8312,6 +8831,7 @@ def p_module_item_13(p):
     if(parse_debug):
         print('module_item_13', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8346,6 +8866,7 @@ def p_module_item_14(p):
     if(parse_debug):
         print('module_item_14', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[4]) delete p[4];
@@ -8359,6 +8880,7 @@ def p_module_item_15(p):
     if(parse_debug):
         print('module_item_15', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8372,6 +8894,7 @@ def p_module_item_16(p):
     if(parse_debug):
         print('module_item_16', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8385,6 +8908,7 @@ def p_module_item_17(p):
     if(parse_debug):
         print('module_item_17', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8398,6 +8922,7 @@ def p_module_item_18(p):
     if(parse_debug):
         print('module_item_18', list(p))
 
+
     # { pform_attach_discipline(@1, p[1], p[2]); }
 ()
 
@@ -8407,6 +8932,7 @@ def p_module_item_19(p):
     if(parse_debug):
         print('module_item_19', list(p))
 
+
     # { delete attributes_in_context;
     #  attributes_in_context = 0;
     #       }
@@ -8427,6 +8953,7 @@ def p_module_item_21(p):
     if(parse_debug):
         print('module_item_21', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); }
 ()
 
@@ -8436,6 +8963,7 @@ def p_module_item_22(p):
     if(parse_debug):
         print('module_item_22', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); }
 ()
 
@@ -8445,6 +8973,7 @@ def p_module_item_23(p):
     if(parse_debug):
         print('module_item_23', list(p))
 
+
     # { pform_makegates(@2, p[2], p[3], 0, p[4], p[1]); }
 ()
 
@@ -8454,6 +8983,7 @@ def p_module_item_24(p):
     if(parse_debug):
         print('module_item_24', list(p))
 
+
     # { pform_makegates(@2, p[2], p[3], p[4], p[5], p[1]); }
 ()
 
@@ -8463,6 +8993,7 @@ def p_module_item_25(p):
     if(parse_debug):
         print('module_item_25', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); }
 ()
 
@@ -8472,6 +9003,7 @@ def p_module_item_26(p):
     if(parse_debug):
         print('module_item_26', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); }
 ()
 
@@ -8481,6 +9013,7 @@ def p_module_item_27(p):
     if(parse_debug):
         print('module_item_27', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, pull_strength, 0, p[2], 0); }
 ()
 
@@ -8490,6 +9023,7 @@ def p_module_item_28(p):
     if(parse_debug):
         print('module_item_28', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, pull_strength, 0, p[2], 0); }
 ()
 
@@ -8499,6 +9033,7 @@ def p_module_item_29(p):
     if(parse_debug):
         print('module_item_29', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[5], 0); }
 ()
 
@@ -8508,6 +9043,7 @@ def p_module_item_30(p):
     if(parse_debug):
         print('module_item_30', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[7], 0); }
 ()
 
@@ -8517,6 +9053,7 @@ def p_module_item_31(p):
     if(parse_debug):
         print('module_item_31', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[5], 0, p[7], 0); }
 ()
 
@@ -8526,6 +9063,7 @@ def p_module_item_32(p):
     if(parse_debug):
         print('module_item_32', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[5], 0); }
 ()
 
@@ -8535,6 +9073,7 @@ def p_module_item_33(p):
     if(parse_debug):
         print('module_item_33', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[5], 0, p[7], 0); }
 ()
 
@@ -8544,6 +9083,7 @@ def p_module_item_34(p):
     if(parse_debug):
         print('module_item_34', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[7], 0); }
 ()
 
@@ -8553,6 +9093,7 @@ def p_module_item_35(p):
     if(parse_debug):
         print('module_item_35', list(p))
 
+
     # { perm_string tmp1 = lex_strings.make(p[2]);
     #            pform_make_modgates(@2, tmp1, p[3], p[4], p[1]);
     #            delete[]p[2];
@@ -8565,6 +9106,7 @@ def p_module_item_36(p):
     if(parse_debug):
         print('module_item_36', list(p))
 
+
     # { yyerror(@2, "error: Invalid module instantiation");
     #            delete[]p[2];
     #            if (p[1]) delete p[1];
@@ -8577,6 +9119,7 @@ def p_module_item_37(p):
     if(parse_debug > 2):
         print('module_item_37', list(p))
 
+
     # { pform_make_pgassign_list(p[4], p[3], p[2], @1.text, @1.first_line); }
 ()
 
@@ -8586,6 +9129,7 @@ def p_module_item_38(p):
     if(parse_debug):
         print('module_item_38', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8597,6 +9141,9 @@ def p_module_item_39(p):
     if(parse_debug):
         print('module_item_39', list(p))
 
+    absyn.always_comb(p[3], p[1])
+
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_COMB, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8608,6 +9155,7 @@ def p_module_item_40(p):
     if(parse_debug):
         print('module_item_40', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_FF, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8619,6 +9167,7 @@ def p_module_item_41(p):
     if(parse_debug):
         print('module_item_41', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_LATCH, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8630,6 +9179,7 @@ def p_module_item_42(p):
     if(parse_debug):
         print('module_item_42', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_INITIAL, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8641,6 +9191,7 @@ def p_module_item_43(p):
     if(parse_debug):
         print('module_item_43', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_FINAL, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8652,6 +9203,7 @@ def p_module_item_44(p):
     if(parse_debug):
         print('module_item_44', list(p))
 
+
     # { pform_make_analog_behavior(@2, IVL_PR_ALWAYS, p[3]); }
 ()
 
@@ -8706,6 +9258,7 @@ def p_module_item_50(p):
     if(parse_debug):
         print('module_item_50', list(p))
 
+
     # { // Test for bad nesting. I understand it, but it is illegal.
     #        if (pform_parent_generate()) {
     #       cerr << @1 << ": error: Generate/endgenerate regions cannot nest." << endl;
@@ -8722,6 +9275,7 @@ def p_module_item_51(p):
     if(parse_debug):
         print('module_item_51', list(p))
 
+
     # { pform_genvars(@1, p[2]); }
 ()
 
@@ -8731,6 +9285,7 @@ def p_module_item_52(p):
     if(parse_debug):
         print('module_item_52', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8740,6 +9295,7 @@ def p_module_item_53(p):
     if(parse_debug):
         print('module_item_53', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8749,6 +9305,7 @@ def p_module_item_54(p):
     if(parse_debug):
         print('module_item_54', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8758,6 +9315,7 @@ def p_module_item_55(p):
     if(parse_debug):
         print('module_item_55', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8803,6 +9361,7 @@ def p_module_item_60(p):
     if(parse_debug):
         print('module_item_60', list(p))
 
+
     # { yyerror(@1, "error: syntax error in specify block");
     #  yyerrok;
     #       }
@@ -8814,6 +9373,7 @@ def p_module_item_61(p):
     if(parse_debug):
         print('module_item_61', list(p))
 
+
     # { yyerror(@2, "error: invalid module item.");
     #            yyerrok;
     #          }
@@ -8825,6 +9385,7 @@ def p_module_item_62(p):
     if(parse_debug):
         print('module_item_62', list(p))
 
+
     # { yyerror(@1, "error: syntax error in left side "
     #                    "of continuous assignment.");
     #            yyerrok;
@@ -8837,6 +9398,7 @@ def p_module_item_63(p):
     if(parse_debug):
         print('module_item_63', list(p))
 
+
     # { yyerror(@1, "error: syntax error in "
     #                    "continuous assignment");
     #            yyerrok;
@@ -8849,6 +9411,7 @@ def p_module_item_64(p):
     if(parse_debug):
         print('module_item_64', list(p))
 
+
     # { yyerror(@1, "error: I give up on this "
     #                    "function definition.");
     #            if (p[4]) {
@@ -8868,6 +9431,7 @@ def p_module_item_65(p):
     if(parse_debug):
         print('module_item_65', list(p))
 
+
     # { perm_string tmp3 = lex_strings.make(p[3]);
     #            perm_string tmp5 = lex_strings.make(p[5]);
     #            pform_set_attrib(tmp3, tmp5, p[7]);
@@ -8882,6 +9446,7 @@ def p_module_item_66(p):
     if(parse_debug):
         print('module_item_66', list(p))
 
+
     # { yyerror(@1, "error: Malformed $attribute parameter list."); }
 ()
 
@@ -8889,6 +9454,7 @@ def p_module_item_66(p):
 def p__embed0_module_item(p):
     '''_embed0_module_item : '''
 
+
     # { attributes_in_context = p[1]; }
 ()
 
@@ -8896,6 +9462,7 @@ def p__embed0_module_item(p):
 def p__embed1_module_item(p):
     '''_embed1_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: Parameter overrides are not allowed "
     #                    "in interfaces.");
@@ -8906,6 +9473,7 @@ def p__embed1_module_item(p):
 def p__embed2_module_item(p):
     '''_embed2_module_item : '''
 
+
     # { pform_start_generate_for(@1, p[3], p[5], p[7], p[9], p[11]); }
 ()
 
@@ -8913,6 +9481,7 @@ def p__embed2_module_item(p):
 def p__embed3_module_item(p):
     '''_embed3_module_item : '''
 
+
     # { pform_start_generate_else(@1); }
 ()
 
@@ -8920,6 +9489,7 @@ def p__embed3_module_item(p):
 def p__embed4_module_item(p):
     '''_embed4_module_item : '''
 
+
     # { pform_start_generate_case(@1, p[3]); }
 ()
 
@@ -8927,6 +9497,7 @@ def p__embed4_module_item(p):
 def p__embed5_module_item(p):
     '''_embed5_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: specparam declarations are not allowed "
     #                    "in interfaces.");
@@ -8937,6 +9508,7 @@ def p__embed5_module_item(p):
 def p__embed6_module_item(p):
     '''_embed6_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: specify blocks are not allowed "
     #                    "in interfaces.");
@@ -8985,6 +9557,7 @@ def p_generate_if_1(p):
     if(parse_debug):
         print('generate_if_1', list(p))
 
+
     # { pform_start_generate_if(@1, p[3]); }
 ()
 
@@ -9012,6 +9585,7 @@ def p_generate_case_item_1(p):
     if(parse_debug):
         print('generate_case_item_1', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -9021,6 +9595,7 @@ def p_generate_case_item_2(p):
     if(parse_debug):
         print('generate_case_item_2', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -9028,6 +9603,7 @@ def p_generate_case_item_2(p):
 def p__embed0_generate_case_item(p):
     '''_embed0_generate_case_item : '''
 
+
     # { pform_generate_case_item(@1, p[1]); }
 ()
 
@@ -9035,6 +9611,7 @@ def p__embed0_generate_case_item(p):
 def p__embed1_generate_case_item(p):
     '''_embed1_generate_case_item : '''
 
+
     # { pform_generate_case_item(@1, 0); }
 ()
 
@@ -9053,6 +9630,7 @@ def p_generate_item_2(p):
     if(parse_debug):
         print('generate_item_2', list(p))
 
+
     # { /* Detect and warn about anachronistic begin/end use */
     #  if (generation_flag > GN_VER2001 && warn_anachronisms) {
     #        warn_count += 1;
@@ -9067,6 +9645,7 @@ def p_generate_item_3(p):
     if(parse_debug):
         print('generate_item_3', list(p))
 
+
     # { /* Detect and warn about anachronistic named begin/end use */
     #  if (generation_flag > GN_VER2001 && warn_anachronisms) {
     #        warn_count += 1;
@@ -9080,6 +9659,7 @@ def p_generate_item_3(p):
 def p__embed0_generate_item(p):
     '''_embed0_generate_item : '''
 
+
     # {
     #  pform_start_generate_nblock(@1, p[3]);
     #       }
@@ -9145,6 +9725,7 @@ def p_generate_block_3(p):
     if(parse_debug):
         print('generate_block_3', list(p))
 
+
     # { pform_generate_block_name(p[3]);
     #  if (p[6]) {
     #        if (strcmp(p[3],p[6]) != 0) {
@@ -9185,6 +9766,7 @@ def p_net_decl_assign_1(p):
     if(parse_debug):
         print('net_decl_assign_1', list(p))
 
+
     # { net_decl_assign_t*tmp = new net_decl_assign_t;
     #  tmp->next = tmp;
     #  tmp->name = lex_strings.make(p[1]);
@@ -9200,6 +9782,7 @@ def p_net_decl_assigns_1(p):
     if(parse_debug):
         print('net_decl_assigns_1', list(p))
 
+
     # { net_decl_assign_t*tmp = p[1];
     #            p[3]->next = tmp->next;
     #            tmp->next = p[3];
@@ -9213,6 +9796,7 @@ def p_net_decl_assigns_2(p):
     if(parse_debug):
         print('net_decl_assigns_2', list(p))
 
+
     # { p[0] = p[1];
     #          }
 ()
@@ -9223,6 +9807,7 @@ def p_bit_logic_1(p):
     if(parse_debug):
         print('bit_logic_1', list(p))
 
+
     # { p[0] = IVL_VT_LOGIC; }
 ()
 
@@ -9232,6 +9817,7 @@ def p_bit_logic_2(p):
     if(parse_debug):
         print('bit_logic_2', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; /* Icarus misc */}
 ()
 
@@ -9241,6 +9827,7 @@ def p_bit_logic_3(p):
     if(parse_debug):
         print('bit_logic_3', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; /* IEEE1800 / IEEE1364-2009 */}
 ()
 
@@ -9259,6 +9846,7 @@ def p_bit_logic_opt_2(p):
     if(parse_debug):
         print('bit_logic_opt_2', list(p))
 
+
     # { p[0] = IVL_VT_NO_TYPE; }
 ()
 
@@ -9279,6 +9867,7 @@ def p_net_type_2(p):
     if(parse_debug):
         print('net_type_2', list(p))
 
+
     # { p[0] = NetNet::TRI; }
 ()
 
@@ -9288,6 +9877,7 @@ def p_net_type_3(p):
     if(parse_debug):
         print('net_type_3', list(p))
 
+
     # { p[0] = NetNet::TRI1; }
 ()
 
@@ -9297,6 +9887,7 @@ def p_net_type_4(p):
     if(parse_debug):
         print('net_type_4', list(p))
 
+
     # { p[0] = NetNet::SUPPLY0; }
 ()
 
@@ -9306,6 +9897,7 @@ def p_net_type_5(p):
     if(parse_debug):
         print('net_type_5', list(p))
 
+
     # { p[0] = NetNet::WAND; }
 ()
 
@@ -9315,6 +9907,7 @@ def p_net_type_6(p):
     if(parse_debug):
         print('net_type_6', list(p))
 
+
     # { p[0] = NetNet::TRIAND; }
 ()
 
@@ -9324,6 +9917,7 @@ def p_net_type_7(p):
     if(parse_debug):
         print('net_type_7', list(p))
 
+
     # { p[0] = NetNet::TRI0; }
 ()
 
@@ -9333,6 +9927,7 @@ def p_net_type_8(p):
     if(parse_debug):
         print('net_type_8', list(p))
 
+
     # { p[0] = NetNet::SUPPLY1; }
 ()
 
@@ -9342,6 +9937,7 @@ def p_net_type_9(p):
     if(parse_debug):
         print('net_type_9', list(p))
 
+
     # { p[0] = NetNet::WOR; }
 ()
 
@@ -9351,6 +9947,7 @@ def p_net_type_10(p):
     if(parse_debug):
         print('net_type_10', list(p))
 
+
     # { p[0] = NetNet::TRIOR; }
 ()
 
@@ -9360,6 +9957,7 @@ def p_net_type_11(p):
     if(parse_debug):
         print('net_type_11', list(p))
 
+
     # { p[0] = NetNet::UNRESOLVED_WIRE;
     #                cerr << @1.text << ":" << @1.first_line << ": warning: "
     #                        "'wone' is deprecated, please use 'uwire' "
@@ -9373,6 +9971,7 @@ def p_net_type_12(p):
     if(parse_debug):
         print('net_type_12', list(p))
 
+
     # { p[0] = NetNet::UNRESOLVED_WIRE; }
 ()
 
@@ -9382,6 +9981,7 @@ def p_param_type_1(p):
     if(parse_debug):
         print('param_type_1', list(p))
 
+
     # { param_active_range = p[3];
     #  param_active_signed = p[2];
     #  if ((p[1] == IVL_VT_NO_TYPE) && (p[3] != 0))
@@ -9397,6 +9997,7 @@ def p_param_type_2(p):
     if(parse_debug):
         print('param_type_2', list(p))
 
+
     # { param_active_range = make_range_from_width(integer_width);
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_LOGIC;
@@ -9409,6 +10010,7 @@ def p_param_type_3(p):
     if(parse_debug):
         print('param_type_3', list(p))
 
+
     # { param_active_range = make_range_from_width(64);
     #  param_active_signed = false;
     #  param_active_type = IVL_VT_LOGIC;
@@ -9421,6 +10023,7 @@ def p_param_type_4(p):
     if(parse_debug):
         print('param_type_4', list(p))
 
+
     # { param_active_range = 0;
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_REAL;
@@ -9433,6 +10036,7 @@ def p_param_type_5(p):
     if(parse_debug):
         print('param_type_5', list(p))
 
+
     # { param_active_range = make_range_from_width(p[1]);
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_BOOL;
@@ -9445,6 +10049,7 @@ def p_param_type_6(p):
     if(parse_debug):
         print('param_type_6', list(p))
 
+
     # { pform_set_param_from_type(@1, p[1].type, p[1].text, param_active_range,
     #                            param_active_signed, param_active_type);
     #  delete[]p[1].text;
@@ -9496,6 +10101,7 @@ def p_parameter_assign_1(p):
     expr = Node(syms.tfpdef, [tpname, Leaf(token.EQUAL, p[2]), p[3]])
     p[0] = expr
 
+
     # { PExpr*tmp = p[3];
     #  pform_set_parameter(@1, lex_strings.make(p[1]), param_active_type,
     #                      param_active_signed, param_active_range, tmp, p[4]);
@@ -9509,6 +10115,7 @@ def p_localparam_assign_1(p):
     if(parse_debug):
         print('localparam_assign_1', list(p))
 
+
     # { PExpr*tmp = p[3];
     #  pform_set_localparam(@1, lex_strings.make(p[1]), param_active_type,
     #                       param_active_signed, param_active_range, tmp);
@@ -9532,6 +10139,7 @@ def p_parameter_value_ranges_opt_2(p):
     if(parse_debug):
         print('parameter_value_ranges_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9541,6 +10149,7 @@ def p_parameter_value_ranges_1(p):
     if(parse_debug):
         print('parameter_value_ranges_1', list(p))
 
+
     # { p[0] = p[2]; p[0]->next = p[1]; }
 ()
 
@@ -9550,6 +10159,7 @@ def p_parameter_value_ranges_2(p):
     if(parse_debug):
         print('parameter_value_ranges_2', list(p))
 
+
     # { p[0] = p[1]; p[0]->next = 0; }
 ()
 
@@ -9559,6 +10169,7 @@ def p_parameter_value_range_1(p):
     if(parse_debug):
         print('parameter_value_range_1', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], false, p[3], false, p[5]); }
 ()
 
@@ -9568,6 +10179,7 @@ def p_parameter_value_range_2(p):
     if(parse_debug):
         print('parameter_value_range_2', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], false, p[3], true, p[5]); }
 ()
 
@@ -9577,6 +10189,7 @@ def p_parameter_value_range_3(p):
     if(parse_debug):
         print('parameter_value_range_3', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], true, p[3], false, p[5]); }
 ()
 
@@ -9586,6 +10199,7 @@ def p_parameter_value_range_4(p):
     if(parse_debug):
         print('parameter_value_range_4', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], true, p[3], true, p[5]); }
 ()
 
@@ -9595,6 +10209,7 @@ def p_parameter_value_range_5(p):
     if(parse_debug):
         print('parameter_value_range_5', list(p))
 
+
     # { p[0] = pform_parameter_value_range(true, false, p[2], false, p[2]); }
 ()
 
@@ -9614,6 +10229,7 @@ def p_value_range_expression_2(p):
     if(parse_debug):
         print('value_range_expression_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9623,6 +10239,7 @@ def p_value_range_expression_3(p):
     if(parse_debug):
         print('value_range_expression_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9632,6 +10249,7 @@ def p_value_range_expression_4(p):
     if(parse_debug):
         print('value_range_expression_4', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9661,6 +10279,7 @@ def p_parameter_value_opt_1(p):
     if(parse_debug):
         print('parameter_value_opt_1', list(p))
 
+
     # { struct parmvalue_t*tmp = new struct parmvalue_t;
     #            tmp->by_order = p[3];
     #            tmp->by_name = 0;
@@ -9674,6 +10293,7 @@ def p_parameter_value_opt_2(p):
     if(parse_debug):
         print('parameter_value_opt_2', list(p))
 
+
     # { struct parmvalue_t*tmp = new struct parmvalue_t;
     #            tmp->by_order = 0;
     #            tmp->by_name = p[3];
@@ -9687,6 +10307,7 @@ def p_parameter_value_opt_3(p):
     if(parse_debug):
         print('parameter_value_opt_3', list(p))
 
+
     # { assert(p[2]);
     #            PENumber*tmp = new PENumber(p[2]);
     #            FILE_NAME(tmp, @1);
@@ -9706,6 +10327,7 @@ def p_parameter_value_opt_4(p):
     if(parse_debug):
         print('parameter_value_opt_4', list(p))
 
+
     # { assert(p[2]);
     #            PEFNumber*tmp = new PEFNumber(p[2]);
     #            FILE_NAME(tmp, @1);
@@ -9724,6 +10346,7 @@ def p_parameter_value_opt_5(p):
     if(parse_debug):
         print('parameter_value_opt_5', list(p))
 
+
     # { yyerror(@1, "error: syntax error in parameter value "
     #                    "assignment list.");
     #            p[0] = None
@@ -9736,6 +10359,7 @@ def p_parameter_value_opt_6(p):
     if(parse_debug):
         print('parameter_value_opt_6', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9745,6 +10369,7 @@ def p_parameter_value_byname_1(p):
     if(parse_debug):
         print('parameter_value_byname_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = p[4];
@@ -9759,6 +10384,7 @@ def p_parameter_value_byname_2(p):
     if(parse_debug):
         print('parameter_value_byname_2', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = 0;
@@ -9773,6 +10399,7 @@ def p_parameter_value_byname_list_1(p):
     if(parse_debug):
         print('parameter_value_byname_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #  tmp->push_back(*p[1]);
     #  delete p[1];
@@ -9786,6 +10413,7 @@ def p_parameter_value_byname_list_2(p):
     if(parse_debug):
         print('parameter_value_byname_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #  tmp->push_back(*p[3]);
     #  delete p[3];
@@ -9809,6 +10437,7 @@ def p_port_2(p):
     if(parse_debug):
         print('port_2', list(p))
 
+
     # { Module::port_t*tmp = p[4];
     #            tmp->name = lex_strings.make(p[2]);
     #            delete[]p[2];
@@ -9822,6 +10451,7 @@ def p_port_3(p):
     if(parse_debug):
         print('port_3', list(p))
 
+
     # { Module::port_t*tmp = p[2];
     #            tmp->name = perm_string();
     #            p[0] = tmp;
@@ -9834,6 +10464,7 @@ def p_port_4(p):
     if(parse_debug):
         print('port_4', list(p))
 
+
     # { Module::port_t*tmp = p[5];
     #            tmp->name = lex_strings.make(p[2]);
     #            delete[]p[2];
@@ -9857,6 +10488,7 @@ def p_port_opt_2(p):
     if(parse_debug):
         print('port_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9866,6 +10498,7 @@ def p_port_name_1(p):
     if(parse_debug):
         print('port_name_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = p[4];
@@ -9880,6 +10513,7 @@ def p_port_name_2(p):
     if(parse_debug):
         print('port_name_2', list(p))
 
+
     # { yyerror(@3, "error: invalid port connection expression.");
     #            named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
@@ -9895,6 +10529,7 @@ def p_port_name_3(p):
     if(parse_debug):
         print('port_name_3', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = 0;
@@ -9909,6 +10544,7 @@ def p_port_name_4(p):
     if(parse_debug):
         print('port_name_4', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = new PEIdent(lex_strings.make(p[2]), true);
@@ -9924,6 +10560,7 @@ def p_port_name_5(p):
     if(parse_debug):
         print('port_name_5', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make("*");
     #            tmp->parm = 0;
@@ -9937,6 +10574,7 @@ def p_port_name_list_1(p):
     if(parse_debug):
         print('port_name_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #         tmp->push_back(*p[3]);
     #  delete p[3];
@@ -9950,6 +10588,7 @@ def p_port_name_list_2(p):
     if(parse_debug):
         print('port_name_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #         tmp->push_back(*p[1]);
     #  delete p[1];
@@ -9963,6 +10602,7 @@ def p_port_reference_1(p):
     if(parse_debug):
         print('port_reference_1', list(p))
 
+
     # { Module::port_t*ptmp;
     #    perm_string name = lex_strings.make(p[1]);
     #    ptmp = pform_module_port_reference(name, @1.text, @1.first_line);
@@ -9977,6 +10617,7 @@ def p_port_reference_2(p):
     if(parse_debug):
         print('port_reference_2', list(p))
 
+
     # { index_component_t itmp;
     #    itmp.sel = index_component_t::SEL_PART;
     #    itmp.msb = p[3];
@@ -10006,6 +10647,7 @@ def p_port_reference_3(p):
     if(parse_debug):
         print('port_reference_3', list(p))
 
+
     # { index_component_t itmp;
     #    itmp.sel = index_component_t::SEL_BIT;
     #    itmp.msb = p[3];
@@ -10034,6 +10676,7 @@ def p_port_reference_4(p):
     if(parse_debug):
         print('port_reference_4', list(p))
 
+
     # { yyerror(@1, "error: invalid port bit select");
     #    Module::port_t*ptmp = new Module::port_t;
     #    PEIdent*wtmp = new PEIdent(lex_strings.make(p[1]));
@@ -10061,6 +10704,7 @@ def p_port_reference_list_2(p):
     if(parse_debug):
         print('port_reference_list_2', list(p))
 
+
     # { Module::port_t*tmp = p[1];
     #            append(tmp->expr, p[3]->expr);
     #            delete p[3];
@@ -10074,6 +10718,7 @@ def p_dimensions_opt_1(p):
     if(parse_debug > 2):
         print('dimensions_opt_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -10103,6 +10748,7 @@ def p_dimensions_2(p):
     if(parse_debug):
         print('dimensions_2', list(p))
 
+
     # { list<pform_range_t> *tmp = p[1];
     #  if (p[2]) {
     #        tmp->splice(tmp->end(), *p[2]);
@@ -10118,6 +10764,7 @@ def p_register_variable_1(p):
     if(parse_debug):
         print('register_variable_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  pform_makewire(@1, name, NetNet::REG,
     #                 NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0);
@@ -10132,6 +10779,7 @@ def p_register_variable_2(p):
     if(parse_debug):
         print('register_variable_2', list(p))
 
+
     # { if (pform_peek_scope()->var_init_needs_explicit_lifetime()
     #      && (var_lifetime == LexicalScope::INHERITED)) {
     #        cerr << @3 << ": warning: Static variable initialization requires "
@@ -10153,6 +10801,7 @@ def p_register_variable_list_1(p):
     if(parse_debug):
         print('register_variable_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10166,6 +10815,7 @@ def p_register_variable_list_2(p):
     if(parse_debug):
         print('register_variable_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10187,7 +10837,6 @@ def p_net_variable_1(p):
     #  pform_set_reg_idx(name, p[2]);
     p[0] = [p[1], p[2]]
 
-
     #       }
 ()
 
@@ -10198,6 +10847,7 @@ def p_net_variable_list_1(p):
         print('net_variable_list_1', list(p))
     p[0] = [p[1]]
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10212,6 +10862,7 @@ def p_net_variable_list_2(p):
         print('net_variable_list_2', list(p))
     p[0] = p[1]+[p[3]]
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10225,6 +10876,7 @@ def p_event_variable_1(p):
     if(parse_debug):
         print('event_variable_1', list(p))
 
+
     # { if (p[2]) {
     #        yyerror(@2, "sorry: event arrays are not supported.");
     #        delete p[2];
@@ -10239,6 +10891,7 @@ def p_event_variable_list_1(p):
     if(parse_debug):
         print('event_variable_list_1', list(p))
 
+
     # { p[0] = list_from_identifier(p[1]); }
 ()
 
@@ -10248,6 +10901,7 @@ def p_event_variable_list_2(p):
     if(parse_debug):
         print('event_variable_list_2', list(p))
 
+
     # { p[0] = list_from_identifier(p[1], p[3]); }
 ()
 
@@ -10266,6 +10920,7 @@ def p_specify_item_2(p):
     if(parse_debug):
         print('specify_item_2', list(p))
 
+
     # { pform_module_specify_path(p[1]);
     #          }
 ()
@@ -10276,6 +10931,7 @@ def p_specify_item_3(p):
     if(parse_debug):
         print('specify_item_3', list(p))
 
+
     # { pform_module_specify_path(p[1]);
     #          }
 ()
@@ -10286,6 +10942,7 @@ def p_specify_item_4(p):
     if(parse_debug):
         print('specify_item_4', list(p))
 
+
     # { PSpecPath*tmp = p[5];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10301,6 +10958,7 @@ def p_specify_item_5(p):
     if(parse_debug):
         print('specify_item_5', list(p))
 
+
     # { PSpecPath*tmp = p[5];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10316,6 +10974,7 @@ def p_specify_item_6(p):
     if(parse_debug):
         print('specify_item_6', list(p))
 
+
     # { PSpecPath*tmp = p[2];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10331,6 +10990,7 @@ def p_specify_item_7(p):
     if(parse_debug):
         print('specify_item_7', list(p))
 
+
     # { yyerror(@1, "Sorry: ifnone with an edge-sensitive path is "
     #                        "not supported.");
     #            yyerrok;
@@ -10343,6 +11003,7 @@ def p_specify_item_8(p):
     if(parse_debug):
         print('specify_item_8', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10354,6 +11015,7 @@ def p_specify_item_9(p):
     if(parse_debug):
         print('specify_item_9', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10364,6 +11026,7 @@ def p_specify_item_10(p):
     if(parse_debug):
         print('specify_item_10', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10375,6 +11038,7 @@ def p_specify_item_11(p):
     if(parse_debug):
         print('specify_item_11', list(p))
 
+
     # { delete p[5];
     #          }
 ()
@@ -10385,6 +11049,7 @@ def p_specify_item_12(p):
     if(parse_debug):
         print('specify_item_12', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10395,6 +11060,7 @@ def p_specify_item_13(p):
     if(parse_debug):
         print('specify_item_13', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10406,6 +11072,7 @@ def p_specify_item_14(p):
     if(parse_debug):
         print('specify_item_14', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10416,6 +11083,7 @@ def p_specify_item_15(p):
     if(parse_debug):
         print('specify_item_15', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10426,6 +11094,7 @@ def p_specify_item_16(p):
     if(parse_debug):
         print('specify_item_16', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10437,6 +11106,7 @@ def p_specify_item_17(p):
     if(parse_debug):
         print('specify_item_17', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10447,6 +11117,7 @@ def p_specify_item_18(p):
     if(parse_debug):
         print('specify_item_18', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10457,6 +11128,7 @@ def p_specify_item_19(p):
     if(parse_debug):
         print('specify_item_19', list(p))
 
+
     # { delete p[5];
     #            delete p[7];
     #          }
@@ -10468,6 +11140,7 @@ def p_specify_item_20(p):
     if(parse_debug):
         print('specify_item_20', list(p))
 
+
     # { delete p[5];
     #          }
 ()
@@ -10478,6 +11151,7 @@ def p_specify_item_21(p):
     if(parse_debug):
         print('specify_item_21', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10488,6 +11162,7 @@ def p_specify_item_22(p):
     if(parse_debug):
         print('specify_item_22', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10498,6 +11173,7 @@ def p_specify_item_23(p):
     if(parse_debug):
         print('specify_item_23', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10508,6 +11184,7 @@ def p_specify_item_24(p):
     if(parse_debug):
         print('specify_item_24', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10536,6 +11213,7 @@ def p_specify_item_list_opt_1(p):
     if(parse_debug):
         print('specify_item_list_opt_1', list(p))
 
+
     # {  }
 ()
 
@@ -10545,6 +11223,7 @@ def p_specify_item_list_opt_2(p):
     if(parse_debug):
         print('specify_item_list_opt_2', list(p))
 
+
     # {  }
 ()
 
@@ -10554,6 +11233,7 @@ def p_specify_edge_path_decl_1(p):
     if(parse_debug):
         print('specify_edge_path_decl_1', list(p))
 
+
     # { p[0] = pform_assign_path_delay(p[1], p[4]); }
 ()
 
@@ -10563,6 +11243,7 @@ def p_specify_edge_path_decl_2(p):
     if(parse_debug):
         print('specify_edge_path_decl_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = pform_assign_path_delay(p[1], tmp);
@@ -10595,6 +11276,7 @@ def p_specify_edge_path_1(p):
     if(parse_debug):
         print('specify_edge_path_1', list(p))
 
+
     # { int edge_flag = 0;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], false, p[6], p[8]); }
 ()
@@ -10605,6 +11287,7 @@ def p_specify_edge_path_2(p):
     if(parse_debug):
         print('specify_edge_path_2', list(p))
 
+
     # { int edge_flag = p[2]? 1 : -1;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], false, p[7], p[9]);}
 ()
@@ -10615,6 +11298,7 @@ def p_specify_edge_path_3(p):
     if(parse_debug):
         print('specify_edge_path_3', list(p))
 
+
     # { int edge_flag = 0;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], true, p[6], p[8]); }
 ()
@@ -10625,6 +11309,7 @@ def p_specify_edge_path_4(p):
     if(parse_debug):
         print('specify_edge_path_4', list(p))
 
+
     # { int edge_flag = p[2]? 1 : -1;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], true, p[7], p[9]); }
 ()
@@ -10662,6 +11347,7 @@ def p_specify_simple_path_decl_1(p):
     if(parse_debug):
         print('specify_simple_path_decl_1', list(p))
 
+
     # { p[0] = pform_assign_path_delay(p[1], p[4]); }
 ()
 
@@ -10671,6 +11357,7 @@ def p_specify_simple_path_decl_2(p):
     if(parse_debug):
         print('specify_simple_path_decl_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = pform_assign_path_delay(p[1], tmp);
@@ -10683,6 +11370,7 @@ def p_specify_simple_path_decl_3(p):
     if(parse_debug):
         print('specify_simple_path_decl_3', list(p))
 
+
     # { yyerror(@3, "Syntax error in delay value list.");
     #            yyerrok;
     #            p[0] = None
@@ -10695,6 +11383,7 @@ def p_specify_simple_path_1(p):
     if(parse_debug):
         print('specify_simple_path_1', list(p))
 
+
     # { p[0] = pform_make_specify_path(@1, p[2], p[3], false, p[5]); }
 ()
 
@@ -10704,6 +11393,7 @@ def p_specify_simple_path_2(p):
     if(parse_debug):
         print('specify_simple_path_2', list(p))
 
+
     # { p[0] = pform_make_specify_path(@1, p[2], p[3], true, p[5]); }
 ()
 
@@ -10713,6 +11403,7 @@ def p_specify_simple_path_3(p):
     if(parse_debug):
         print('specify_simple_path_3', list(p))
 
+
     # { yyerror(@1, "Invalid simple path");
     #            yyerrok;
     #          }
@@ -10724,6 +11415,7 @@ def p_specify_path_identifiers_1(p):
     if(parse_debug):
         print('specify_path_identifiers_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10737,6 +11429,7 @@ def p_specify_path_identifiers_2(p):
     if(parse_debug):
         print('specify_path_identifiers_2', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Bit selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10755,6 +11448,7 @@ def p_specify_path_identifiers_3(p):
     if(parse_debug):
         print('specify_path_identifiers_3', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Part selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10773,6 +11467,7 @@ def p_specify_path_identifiers_4(p):
     if(parse_debug):
         print('specify_path_identifiers_4', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10786,6 +11481,7 @@ def p_specify_path_identifiers_5(p):
     if(parse_debug):
         print('specify_path_identifiers_5', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Bit selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10804,6 +11500,7 @@ def p_specify_path_identifiers_6(p):
     if(parse_debug):
         print('specify_path_identifiers_6', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Part selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10822,6 +11519,7 @@ def p_specparam_1(p):
     if(parse_debug):
         print('specparam_1', list(p))
 
+
     # { PExpr*tmp = p[3];
     #            pform_set_specparam(@1, lex_strings.make(p[1]),
     #                                param_active_range, tmp);
@@ -10835,6 +11533,7 @@ def p_specparam_2(p):
     if(parse_debug):
         print('specparam_2', list(p))
 
+
     # { PExpr*tmp = 0;
     #            switch (min_typ_max_flag) {
     #                case MIN:
@@ -10881,6 +11580,7 @@ def p_specparam_3(p):
     if(parse_debug):
         print('specparam_3', list(p))
 
+
     # { delete[]p[1];
     #            delete p[3];
     #          }
@@ -10892,6 +11592,7 @@ def p_specparam_4(p):
     if(parse_debug):
         print('specparam_4', list(p))
 
+
     # { delete[]p[1];
     #            delete p[4];
     #            delete p[6];
@@ -10931,6 +11632,7 @@ def p_specparam_decl_2(p):
     if(parse_debug):
         print('specparam_decl_2', list(p))
 
+
     # { param_active_range = 0; }
 ()
 
@@ -10938,6 +11640,7 @@ def p_specparam_decl_2(p):
 def p__embed0_specparam_decl(p):
     '''_embed0_specparam_decl : '''
 
+
     # { param_active_range = p[1]; }
 ()
 
@@ -10947,6 +11650,7 @@ def p_spec_polarity_1(p):
     if(parse_debug):
         print('spec_polarity_1', list(p))
 
+
     # { p[0] = '+'; }
 ()
 
@@ -10956,6 +11660,7 @@ def p_spec_polarity_2(p):
     if(parse_debug):
         print('spec_polarity_2', list(p))
 
+
     # { p[0] = '-'; }
 ()
 
@@ -10965,6 +11670,7 @@ def p_spec_polarity_3(p):
     if(parse_debug):
         print('spec_polarity_3', list(p))
 
+
     # { p[0] = None   }
 ()
 
@@ -10974,6 +11680,7 @@ def p_spec_reference_event_1(p):
     if(parse_debug):
         print('spec_reference_event_1', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -10983,6 +11690,7 @@ def p_spec_reference_event_2(p):
     if(parse_debug):
         print('spec_reference_event_2', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -10992,6 +11700,7 @@ def p_spec_reference_event_3(p):
     if(parse_debug):
         print('spec_reference_event_3', list(p))
 
+
     # { delete p[2];
     #       delete p[4];
     #     }
@@ -11003,6 +11712,7 @@ def p_spec_reference_event_4(p):
     if(parse_debug):
         print('spec_reference_event_4', list(p))
 
+
     # { delete p[2];
     #       delete p[4];
     #     }
@@ -11014,6 +11724,7 @@ def p_spec_reference_event_5(p):
     if(parse_debug):
         print('spec_reference_event_5', list(p))
 
+
     # { delete p[5]; }
 ()
 
@@ -11023,6 +11734,7 @@ def p_spec_reference_event_6(p):
     if(parse_debug):
         print('spec_reference_event_6', list(p))
 
+
     # { delete p[5];
     #       delete p[7];
     #     }
@@ -11034,6 +11746,7 @@ def p_spec_reference_event_7(p):
     if(parse_debug):
         print('spec_reference_event_7', list(p))
 
+
     # { delete p[1];
     #       delete p[3];
     #     }
@@ -11045,6 +11758,7 @@ def p_spec_reference_event_8(p):
     if(parse_debug):
         print('spec_reference_event_8', list(p))
 
+
     # { delete p[1]; }
 ()
 
@@ -11072,6 +11786,7 @@ def p_spec_notifier_opt_1(p):
     if(parse_debug):
         print('spec_notifier_opt_1', list(p))
 
+
     # {  }
 ()
 
@@ -11081,6 +11796,7 @@ def p_spec_notifier_opt_2(p):
     if(parse_debug):
         print('spec_notifier_opt_2', list(p))
 
+
     # {  }
 ()
 
@@ -11090,6 +11806,7 @@ def p_spec_notifier_1(p):
     if(parse_debug):
         print('spec_notifier_1', list(p))
 
+
     # { args_after_notifier = 0; }
 ()
 
@@ -11099,6 +11816,7 @@ def p_spec_notifier_2(p):
     if(parse_debug):
         print('spec_notifier_2', list(p))
 
+
     # { args_after_notifier = 0; delete p[2]; }
 ()
 
@@ -11108,6 +11826,7 @@ def p_spec_notifier_3(p):
     if(parse_debug):
         print('spec_notifier_3', list(p))
 
+
     # {  args_after_notifier += 1; }
 ()
 
@@ -11117,6 +11836,7 @@ def p_spec_notifier_4(p):
     if(parse_debug):
         print('spec_notifier_4', list(p))
 
+
     # { args_after_notifier += 1;
     #            if (args_after_notifier >= 3)  {
     #                     cerr << @3 << ": warning: timing checks are not supported "
@@ -11132,6 +11852,7 @@ def p_spec_notifier_5(p):
     if(parse_debug):
         print('spec_notifier_5', list(p))
 
+
     # { args_after_notifier = 0; delete[]p[1]; }
 ()
 
@@ -11141,6 +11862,7 @@ def p_statement_item_1(p):
     if(parse_debug):
         print('statement_item_1', list(p))
 
+
     # { PCAssign*tmp = new PCAssign(p[2], p[4]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11153,6 +11875,7 @@ def p_statement_item_2(p):
     if(parse_debug):
         print('statement_item_2', list(p))
 
+
     # { PDeassign*tmp = new PDeassign(p[2]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11165,6 +11888,7 @@ def p_statement_item_3(p):
     if(parse_debug):
         print('statement_item_3', list(p))
 
+
     # { PForce*tmp = new PForce(p[2], p[4]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11177,6 +11901,7 @@ def p_statement_item_4(p):
     if(parse_debug):
         print('statement_item_4', list(p))
 
+
     # { PRelease*tmp = new PRelease(p[2]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11189,6 +11914,7 @@ def p_statement_item_5(p):
     if(parse_debug):
         print('statement_item_5', list(p))
 
+
     # { PBlock*tmp = new PBlock(PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11201,6 +11927,7 @@ def p_statement_item_6(p):
     if(parse_debug):
         print('statement_item_6', list(p))
 
+
     # { PBlock*tmp;
     #  if (p[3]) {
     #      pform_pop_scope();
@@ -11223,6 +11950,9 @@ def p_statement_item_7(p):
     if(parse_debug):
         print('statement_item_7', list(p))
 
+    p[0] = list(p)
+
+
     # { pform_pop_scope();
     #  assert(! current_block_stack.empty());
     #  PBlock*tmp = current_block_stack.top();
@@ -11250,6 +11980,7 @@ def p_statement_item_8(p):
     if(parse_debug):
         print('statement_item_8', list(p))
 
+
     # { PBlock*tmp = new PBlock(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11262,6 +11993,7 @@ def p_statement_item_9(p):
     if(parse_debug):
         print('statement_item_9', list(p))
 
+
     # { PBlock*tmp;
     #  if (p[3]) {
     #      pform_pop_scope();
@@ -11285,6 +12017,7 @@ def p_statement_item_10(p):
     if(parse_debug):
         print('statement_item_10', list(p))
 
+
     # { pform_pop_scope();
     #         assert(! current_block_stack.empty());
     #  PBlock*tmp = current_block_stack.top();
@@ -11313,6 +12046,7 @@ def p_statement_item_11(p):
     if(parse_debug):
         print('statement_item_11', list(p))
 
+
     # { PDisable*tmp = new PDisable(*p[2]);
     #            FILE_NAME(tmp, @1);
     #            delete p[2];
@@ -11326,6 +12060,7 @@ def p_statement_item_12(p):
     if(parse_debug):
         print('statement_item_12', list(p))
 
+
     # { pform_name_t tmp_name;
     #            PDisable*tmp = new PDisable(tmp_name);
     #            FILE_NAME(tmp, @1);
@@ -11339,6 +12074,7 @@ def p_statement_item_13(p):
     if(parse_debug):
         print('statement_item_13', list(p))
 
+
     # { PTrigger*tmp = new PTrigger(*p[2]);
     #            FILE_NAME(tmp, @1);
     #            delete p[2];
@@ -11382,6 +12118,7 @@ def p_statement_item_17(p):
     if(parse_debug):
         print('statement_item_17', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQ, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11394,6 +12131,7 @@ def p_statement_item_18(p):
     if(parse_debug):
         print('statement_item_18', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQX, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11406,6 +12144,7 @@ def p_statement_item_19(p):
     if(parse_debug):
         print('statement_item_19', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQZ, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11418,6 +12157,7 @@ def p_statement_item_20(p):
     if(parse_debug):
         print('statement_item_20', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11427,6 +12167,7 @@ def p_statement_item_21(p):
     if(parse_debug):
         print('statement_item_21', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11436,6 +12177,7 @@ def p_statement_item_22(p):
     if(parse_debug):
         print('statement_item_22', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11445,6 +12187,7 @@ def p_statement_item_23(p):
     if(parse_debug):
         print('statement_item_23', list(p))
 
+
     # { PCondit*tmp = new PCondit(p[3], p[5], 0);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11457,6 +12200,7 @@ def p_statement_item_24(p):
     if(parse_debug):
         print('statement_item_24', list(p))
 
+
     # { PCondit*tmp = new PCondit(p[3], p[5], p[7]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11469,6 +12213,7 @@ def p_statement_item_25(p):
     if(parse_debug):
         print('statement_item_25', list(p))
 
+
     # { yyerror(@1, "error: Malformed conditional expression.");
     #            p[0] = p[5];
     #          }
@@ -11480,6 +12225,7 @@ def p_statement_item_26(p):
     if(parse_debug):
         print('statement_item_26', list(p))
 
+
     # { yyerror(@1, "error: Malformed conditional expression.");
     #            p[0] = p[5];
     #          }
@@ -11501,6 +12247,7 @@ def p_statement_item_28(p):
     if(parse_debug):
         print('statement_item_28', list(p))
 
+
     # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); }
 ()
 
@@ -11510,6 +12257,7 @@ def p_statement_item_29(p):
     if(parse_debug):
         print('statement_item_29', list(p))
 
+
     # { PExpr*del = p[1]->front();
     #  assert(p[1]->size() == 1);
     #  delete p[1];
@@ -11525,6 +12273,7 @@ def p_statement_item_30(p):
     if(parse_debug):
         print('statement_item_30', list(p))
 
+
     # { PEventStatement*tmp = p[1];
     #  if (tmp == 0) {
     #        yyerror(@1, "error: Invalid event control.");
@@ -11542,6 +12291,7 @@ def p_statement_item_31(p):
     if(parse_debug):
         print('statement_item_31', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement;
     #  FILE_NAME(tmp, @1);
     #  tmp->set_statement(p[3]);
@@ -11555,6 +12305,7 @@ def p_statement_item_32(p):
     if(parse_debug):
         print('statement_item_32', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement;
     #  FILE_NAME(tmp, @1);
     #  tmp->set_statement(p[5]);
@@ -11565,6 +12316,7 @@ def p_statement_item_32(p):
 
 def p_statement_item_33(p):
     '''statement_item : lpvalue '=' expression ';' '''
+    """
     if(parse_debug):
         print('statement_item33', list(p))
     if p[3]:
@@ -11578,6 +12330,9 @@ def p_statement_item_33(p):
     if(parse_debug):
         print("expr (python):'%s'" % expr)
     p[0] = expr
+    """
+    p[0] = absyn.assign3(p[1], p[2], p[3])
+
 
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
@@ -11591,6 +12346,7 @@ def p_statement_item_34(p):
     if(parse_debug):
         print('statement_item_34', list(p))
 
+
     # { yyerror(@2, "Syntax in assignment statement l-value.");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11603,6 +12359,7 @@ def p_statement_item_35(p):
     if(parse_debug):
         print('statement_item_35', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11615,6 +12372,7 @@ def p_statement_item_36(p):
     if(parse_debug):
         print('statement_item_36', list(p))
 
+
     # { yyerror(@2, "Syntax in assignment statement l-value.");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11627,6 +12385,7 @@ def p_statement_item_37(p):
     if(parse_debug):
         print('statement_item_37', list(p))
 
+
     # { PExpr*del = p[3]->front(); p[3]->pop_front();
     #  assert(p[3]->empty());
     #  PAssign*tmp = new PAssign(p[1],del,p[4]);
@@ -11641,6 +12400,7 @@ def p_statement_item_38(p):
     if(parse_debug):
         print('statement_item_38', list(p))
 
+
     # { PExpr*del = p[3]->front(); p[3]->pop_front();
     #  assert(p[3]->empty());
     #  PAssignNB*tmp = new PAssignNB(p[1],del,p[4]);
@@ -11655,6 +12415,7 @@ def p_statement_item_39(p):
     if(parse_debug):
         print('statement_item_39', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],0,p[3],p[4]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11667,6 +12428,7 @@ def p_statement_item_40(p):
     if(parse_debug):
         print('statement_item_40', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[5],p[7],p[8]);
     #  FILE_NAME(tmp,@1);
     #  tmp->set_lineno(@1.first_line);
@@ -11680,6 +12442,7 @@ def p_statement_item_41(p):
     if(parse_debug):
         print('statement_item_41', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],0,p[3],p[4]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11692,6 +12455,7 @@ def p_statement_item_42(p):
     if(parse_debug):
         print('statement_item_42', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],p[5],p[7],p[8]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11704,6 +12468,7 @@ def p_statement_item_43(p):
     if(parse_debug):
         print('statement_item_43', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11716,6 +12481,7 @@ def p_statement_item_44(p):
     if(parse_debug):
         print('statement_item_44', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11728,6 +12494,7 @@ def p_statement_item_45(p):
     if(parse_debug):
         print('statement_item_45', list(p))
 
+
     # { PEventStatement*tmp;
     #            PEEvent*etmp = new PEEvent(PEEvent::POSITIVE, p[3]);
     #            tmp = new PEventStatement(etmp);
@@ -11743,6 +12510,7 @@ def p_statement_item_46(p):
     if(parse_debug):
         print('statement_item_46', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement((PEEvent*)0);
     #            FILE_NAME(tmp,@1);
     #            p[0] = tmp;
@@ -11755,6 +12523,7 @@ def p_statement_item_47(p):
     if(parse_debug):
         print('statement_item_47', list(p))
 
+
     # { PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), *p[3]);
     #            FILE_NAME(tmp,@1);
     #            delete[]p[1];
@@ -11769,6 +12538,7 @@ def p_statement_item_48(p):
     if(parse_debug):
         print('statement_item_48', list(p))
 
+
     # { list<PExpr*>pt;
     #            PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), pt);
     #            FILE_NAME(tmp,@1);
@@ -11783,6 +12553,7 @@ def p_statement_item_49(p):
     if(parse_debug):
         print('statement_item_49', list(p))
 
+
     # { PCallTask*tmp = pform_make_call_task(@1, *p[1], *p[3]);
     #  delete p[1];
     #  delete p[3];
@@ -11796,6 +12567,7 @@ def p_statement_item_50(p):
     if(parse_debug):
         print('statement_item_50', list(p))
 
+
     # { /* ....randomize with { <constraints> } */
     #  if (p[1] && peek_tail_name(*p[1]) == "randomize") {
     #        if (!gn_system_verilog())
@@ -11819,6 +12591,7 @@ def p_statement_item_51(p):
     if(parse_debug):
         print('statement_item_51', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -11839,6 +12612,7 @@ def p_statement_item_52(p):
     if(parse_debug):
         print('statement_item_52', list(p))
 
+
     # { list<PExpr*>pt;
     #  PCallTask*tmp = pform_make_call_task(@1, *p[1], pt);
     #  delete p[1];
@@ -11852,6 +12626,7 @@ def p_statement_item_53(p):
     if(parse_debug):
         print('statement_item_53', list(p))
 
+
     # { PChainConstructor*tmp = new PChainConstructor(*p[5]);
     #  FILE_NAME(tmp, @3);
     #  delete p[1];
@@ -11865,6 +12640,7 @@ def p_statement_item_54(p):
     if(parse_debug):
         print('statement_item_54', list(p))
 
+
     # { yyerror(@3, "error: Syntax error in task arguments.");
     #  list<PExpr*>pt;
     #  PCallTask*tmp = pform_make_call_task(@1, *p[1], pt);
@@ -11879,6 +12655,7 @@ def p_statement_item_55(p):
     if(parse_debug):
         print('statement_item_55', list(p))
 
+
     # { yyerror(@2, "error: malformed statement");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11889,6 +12666,7 @@ def p_statement_item_55(p):
 def p__embed0_statement_item(p):
     '''_embed0_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11899,6 +12677,7 @@ def p__embed0_statement_item(p):
 def p__embed1_statement_item(p):
     '''_embed1_statement_item : '''
 
+
     # { if (p[3]) {
     #      if (! gn_system_verilog()) {
     #            yyerror("error: Variable declaration in unnamed block "
@@ -11919,6 +12698,7 @@ def p__embed1_statement_item(p):
 def p__embed2_statement_item(p):
     '''_embed2_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11929,6 +12709,7 @@ def p__embed2_statement_item(p):
 def p__embed3_statement_item(p):
     '''_embed3_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_PAR);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11939,6 +12720,7 @@ def p__embed3_statement_item(p):
 def p__embed4_statement_item(p):
     '''_embed4_statement_item : '''
 
+
     # { if (p[3]) {
     #      if (! gn_system_verilog()) {
     #            yyerror("error: Variable declaration in unnamed block "
@@ -11959,6 +12741,7 @@ def p__embed4_statement_item(p):
 def p__embed5_statement_item(p):
     '''_embed5_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_PAR);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11971,6 +12754,7 @@ def p_compressed_statement_1(p):
     if(parse_debug):
         print('compressed_statement_1', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '+', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11983,6 +12767,7 @@ def p_compressed_statement_2(p):
     if(parse_debug):
         print('compressed_statement_2', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '-', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11995,6 +12780,7 @@ def p_compressed_statement_3(p):
     if(parse_debug):
         print('compressed_statement_3', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '*', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12007,6 +12793,7 @@ def p_compressed_statement_4(p):
     if(parse_debug):
         print('compressed_statement_4', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '/', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12019,6 +12806,7 @@ def p_compressed_statement_5(p):
     if(parse_debug):
         print('compressed_statement_5', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '%', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12031,6 +12819,7 @@ def p_compressed_statement_6(p):
     if(parse_debug):
         print('compressed_statement_6', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '&', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12043,6 +12832,7 @@ def p_compressed_statement_7(p):
     if(parse_debug):
         print('compressed_statement_7', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '|', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12055,6 +12845,7 @@ def p_compressed_statement_8(p):
     if(parse_debug):
         print('compressed_statement_8', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '^', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12067,6 +12858,7 @@ def p_compressed_statement_9(p):
     if(parse_debug):
         print('compressed_statement_9', list(p))
 
+
     # { PAssign  *tmp = new PAssign(p[1], 'l', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12079,6 +12871,7 @@ def p_compressed_statement_10(p):
     if(parse_debug):
         print('compressed_statement_10', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], 'r', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12091,6 +12884,7 @@ def p_compressed_statement_11(p):
     if(parse_debug):
         print('compressed_statement_11', list(p))
 
+
     # { PAssign  *tmp = new PAssign(p[1], 'R', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12113,6 +12907,7 @@ def p_statement_or_null_list_opt_2(p):
     if(parse_debug):
         print('statement_or_null_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12121,6 +12916,14 @@ def p_statement_or_null_list_1(p):
     '''statement_or_null_list : statement_or_null_list statement_or_null '''
     if(parse_debug):
         print('statement_or_null_list_1', list(p))
+        print(p[1])
+
+    tmp = p[1]
+    if(tmp is None):
+        tmp = StatementList()
+    if (p[2]):
+        tmp.add_statement(p[2])
+    p[0] = tmp
 
     # { vector<Statement*>*tmp = p[1];
     #  if (p[2]) tmp->push_back(p[2]);
@@ -12134,6 +12937,12 @@ def p_statement_or_null_list_2(p):
     if(parse_debug):
         print('statement_or_null_list_2', list(p))
 
+    tmp = StatementList()
+    if (p[1]):
+        tmp.add_statement(p[1])
+    p[0] = tmp
+
+
     # { vector<Statement*>*tmp = new vector<Statement*>(0);
     #  if (p[1]) tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -12146,6 +12955,7 @@ def p_analog_statement_1(p):
     if(parse_debug):
         print('analog_statement_1', list(p))
 
+
     # { p[0] = pform_contribution_statement(@2, p[1], p[3]); }
 ()
 
@@ -12155,6 +12965,7 @@ def p_task_item_1(p):
     if(parse_debug):
         print('task_item_1', list(p))
 
+
     # { p[0] = new vector<pform_tf_port_t>(0); }
 ()
 
@@ -12174,6 +12985,7 @@ def p_task_item_list_1(p):
     if(parse_debug):
         print('task_item_list_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = p[1];
     #  size_t s1 = tmp->size();
     #  tmp->resize(s1 + p[2]->size());
@@ -12210,6 +13022,7 @@ def p_task_item_list_opt_2(p):
     if(parse_debug):
         print('task_item_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12229,6 +13042,7 @@ def p_tf_port_list_opt_2(p):
     if(parse_debug):
         print('tf_port_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12238,6 +13052,7 @@ def p_udp_body_1(p):
     if(parse_debug):
         print('udp_body_1', list(p))
 
+
     # { lex_end_table();
     #  p[0] = p[2];
     #       }
@@ -12249,6 +13064,7 @@ def p_udp_body_2(p):
     if(parse_debug):
         print('udp_body_2', list(p))
 
+
     # { lex_end_table();
     #  yyerror(@1, "error: Empty UDP table.");
     #  p[0] = None
@@ -12261,6 +13077,7 @@ def p_udp_body_3(p):
     if(parse_debug):
         print('udp_body_3', list(p))
 
+
     # { lex_end_table();
     #  yyerror(@2, "Errors in UDP table");
     #  yyerrok;
@@ -12292,6 +13109,7 @@ def p_udp_comb_entry_1(p):
     if(parse_debug):
         print('udp_comb_entry_1', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+3];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12309,6 +13127,7 @@ def p_udp_comb_entry_list_1(p):
     if(parse_debug):
         print('udp_comb_entry_list_1', list(p))
 
+
     # { list<string>*tmp = new list<string>;
     #            tmp->push_back(p[1]);
     #            delete[]p[1];
@@ -12322,6 +13141,7 @@ def p_udp_comb_entry_list_2(p):
     if(parse_debug):
         print('udp_comb_entry_list_2', list(p))
 
+
     # { list<string>*tmp = p[1];
     #            tmp->push_back(p[2]);
     #            delete[]p[2];
@@ -12335,6 +13155,7 @@ def p_udp_sequ_entry_list_1(p):
     if(parse_debug):
         print('udp_sequ_entry_list_1', list(p))
 
+
     # { list<string>*tmp = new list<string>;
     #            tmp->push_back(p[1]);
     #            delete[]p[1];
@@ -12348,6 +13169,7 @@ def p_udp_sequ_entry_list_2(p):
     if(parse_debug):
         print('udp_sequ_entry_list_2', list(p))
 
+
     # { list<string>*tmp = p[1];
     #            tmp->push_back(p[2]);
     #            delete[]p[2];
@@ -12361,6 +13183,7 @@ def p_udp_sequ_entry_1(p):
     if(parse_debug):
         print('udp_sequ_entry_1', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+5];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12379,6 +13202,7 @@ def p_udp_initial_1(p):
     if(parse_debug):
         print('udp_initial_1', list(p))
 
+
     # { PExpr*etmp = new PENumber(p[4]);
     #            PEIdent*itmp = new PEIdent(lex_strings.make(p[2]));
     #            PAssign*atmp = new PAssign(itmp, etmp);
@@ -12404,6 +13228,7 @@ def p_udp_init_opt_2(p):
     if(parse_debug):
         print('udp_init_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12413,6 +13238,7 @@ def p_udp_input_list_1(p):
     if(parse_debug):
         print('udp_input_list_1', list(p))
 
+
     # { char*tmp = new char[2];
     #            tmp[0] = p[1];
     #            tmp[1] = 0;
@@ -12426,6 +13252,7 @@ def p_udp_input_list_2(p):
     if(parse_debug):
         print('udp_input_list_2', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+2];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12442,6 +13269,7 @@ def p_udp_input_sym_1(p):
     if(parse_debug):
         print('udp_input_sym_1', list(p))
 
+
     # { p[0] = '0'; }
 ()
 
@@ -12451,6 +13279,7 @@ def p_udp_input_sym_2(p):
     if(parse_debug):
         print('udp_input_sym_2', list(p))
 
+
     # { p[0] = '1'; }
 ()
 
@@ -12460,6 +13289,7 @@ def p_udp_input_sym_3(p):
     if(parse_debug):
         print('udp_input_sym_3', list(p))
 
+
     # { p[0] = 'x'; }
 ()
 
@@ -12469,6 +13299,7 @@ def p_udp_input_sym_4(p):
     if(parse_debug):
         print('udp_input_sym_4', list(p))
 
+
     # { p[0] = '?'; }
 ()
 
@@ -12478,6 +13309,7 @@ def p_udp_input_sym_5(p):
     if(parse_debug):
         print('udp_input_sym_5', list(p))
 
+
     # { p[0] = 'b'; }
 ()
 
@@ -12487,6 +13319,7 @@ def p_udp_input_sym_6(p):
     if(parse_debug):
         print('udp_input_sym_6', list(p))
 
+
     # { p[0] = '*'; }
 ()
 
@@ -12496,6 +13329,7 @@ def p_udp_input_sym_7(p):
     if(parse_debug):
         print('udp_input_sym_7', list(p))
 
+
     # { p[0] = '%'; }
 ()
 
@@ -12505,6 +13339,7 @@ def p_udp_input_sym_8(p):
     if(parse_debug):
         print('udp_input_sym_8', list(p))
 
+
     # { p[0] = 'f'; }
 ()
 
@@ -12514,6 +13349,7 @@ def p_udp_input_sym_9(p):
     if(parse_debug):
         print('udp_input_sym_9', list(p))
 
+
     # { p[0] = 'F'; }
 ()
 
@@ -12523,6 +13359,7 @@ def p_udp_input_sym_10(p):
     if(parse_debug):
         print('udp_input_sym_10', list(p))
 
+
     # { p[0] = 'l'; }
 ()
 
@@ -12532,6 +13369,7 @@ def p_udp_input_sym_11(p):
     if(parse_debug):
         print('udp_input_sym_11', list(p))
 
+
     # { p[0] = 'h'; }
 ()
 
@@ -12541,6 +13379,7 @@ def p_udp_input_sym_12(p):
     if(parse_debug):
         print('udp_input_sym_12', list(p))
 
+
     # { p[0] = 'B'; }
 ()
 
@@ -12550,6 +13389,7 @@ def p_udp_input_sym_13(p):
     if(parse_debug):
         print('udp_input_sym_13', list(p))
 
+
     # { p[0] = 'r'; }
 ()
 
@@ -12559,6 +13399,7 @@ def p_udp_input_sym_14(p):
     if(parse_debug):
         print('udp_input_sym_14', list(p))
 
+
     # { p[0] = 'R'; }
 ()
 
@@ -12568,6 +13409,7 @@ def p_udp_input_sym_15(p):
     if(parse_debug):
         print('udp_input_sym_15', list(p))
 
+
     # { p[0] = 'M'; }
 ()
 
@@ -12577,6 +13419,7 @@ def p_udp_input_sym_16(p):
     if(parse_debug):
         print('udp_input_sym_16', list(p))
 
+
     # { p[0] = 'n'; }
 ()
 
@@ -12586,6 +13429,7 @@ def p_udp_input_sym_17(p):
     if(parse_debug):
         print('udp_input_sym_17', list(p))
 
+
     # { p[0] = 'N'; }
 ()
 
@@ -12595,6 +13439,7 @@ def p_udp_input_sym_18(p):
     if(parse_debug):
         print('udp_input_sym_18', list(p))
 
+
     # { p[0] = 'p'; }
 ()
 
@@ -12604,6 +13449,7 @@ def p_udp_input_sym_19(p):
     if(parse_debug):
         print('udp_input_sym_19', list(p))
 
+
     # { p[0] = 'P'; }
 ()
 
@@ -12613,6 +13459,7 @@ def p_udp_input_sym_20(p):
     if(parse_debug):
         print('udp_input_sym_20', list(p))
 
+
     # { p[0] = 'Q'; }
 ()
 
@@ -12622,6 +13469,7 @@ def p_udp_input_sym_21(p):
     if(parse_debug):
         print('udp_input_sym_21', list(p))
 
+
     # { p[0] = 'q'; }
 ()
 
@@ -12631,6 +13479,7 @@ def p_udp_input_sym_22(p):
     if(parse_debug):
         print('udp_input_sym_22', list(p))
 
+
     # { p[0] = '_'; }
 ()
 
@@ -12640,6 +13489,7 @@ def p_udp_input_sym_23(p):
     if(parse_debug):
         print('udp_input_sym_23', list(p))
 
+
     # { p[0] = '+'; }
 ()
 
@@ -12649,6 +13499,7 @@ def p_udp_input_sym_24(p):
     if(parse_debug):
         print('udp_input_sym_24', list(p))
 
+
     # { yyerror(@1, "internal error: Input digits parse as decimal number!"); p[0] = '0'; }
 ()
 
@@ -12658,6 +13509,7 @@ def p_udp_output_sym_1(p):
     if(parse_debug):
         print('udp_output_sym_1', list(p))
 
+
     # { p[0] = '0'; }
 ()
 
@@ -12667,6 +13519,7 @@ def p_udp_output_sym_2(p):
     if(parse_debug):
         print('udp_output_sym_2', list(p))
 
+
     # { p[0] = '1'; }
 ()
 
@@ -12676,6 +13529,7 @@ def p_udp_output_sym_3(p):
     if(parse_debug):
         print('udp_output_sym_3', list(p))
 
+
     # { p[0] = 'x'; }
 ()
 
@@ -12685,6 +13539,7 @@ def p_udp_output_sym_4(p):
     if(parse_debug):
         print('udp_output_sym_4', list(p))
 
+
     # { p[0] = '-'; }
 ()
 
@@ -12694,6 +13549,7 @@ def p_udp_output_sym_5(p):
     if(parse_debug):
         print('udp_output_sym_5', list(p))
 
+
     # { yyerror(@1, "internal error: Output digits parse as decimal number!"); p[0] = '0'; }
 ()
 
@@ -12703,6 +13559,7 @@ def p_udp_port_decl_1(p):
     if(parse_debug):
         print('udp_port_decl_1', list(p))
 
+
     # { p[0] = pform_make_udp_input_ports(p[2]); }
 ()
 
@@ -12712,6 +13569,7 @@ def p_udp_port_decl_2(p):
     if(parse_debug):
         print('udp_port_decl_2', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[2]);
     #  PWire*pp = new PWire(pname, NetNet::IMPLICIT, NetNet::POUTPUT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12727,6 +13585,7 @@ def p_udp_port_decl_3(p):
     if(parse_debug):
         print('udp_port_decl_3', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[2]);
     #  PWire*pp = new PWire(pname, NetNet::REG, NetNet::PIMPLICIT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12742,6 +13601,7 @@ def p_udp_port_decl_4(p):
     if(parse_debug):
         print('udp_port_decl_4', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[3]);
     #  PWire*pp = new PWire(pname, NetNet::REG, NetNet::POUTPUT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12767,6 +13627,7 @@ def p_udp_port_decls_2(p):
     if(parse_debug):
         print('udp_port_decls_2', list(p))
 
+
     # { vector<PWire*>*tmp = p[1];
     #  size_t s1 = p[1]->size();
     #  tmp->resize(s1+p[2]->size());
@@ -12783,6 +13644,7 @@ def p_udp_port_list_1(p):
     if(parse_debug):
         print('udp_port_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #  tmp->push_back(lex_strings.make(p[1]));
     #  delete[]p[1];
@@ -12796,6 +13658,7 @@ def p_udp_port_list_2(p):
     if(parse_debug):
         print('udp_port_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #  tmp->push_back(lex_strings.make(p[3]));
     #  delete[]p[3];
@@ -12839,6 +13702,7 @@ def p_udp_initial_expr_opt_2(p):
     if(parse_debug):
         print('udp_initial_expr_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12848,6 +13712,7 @@ def p_udp_input_declaration_list_1(p):
     if(parse_debug):
         print('udp_input_declaration_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[2]));
     #            p[0] = tmp;
@@ -12861,6 +13726,7 @@ def p_udp_input_declaration_list_2(p):
     if(parse_debug):
         print('udp_input_declaration_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[4]));
     #            p[0] = tmp;
@@ -12874,6 +13740,7 @@ def p_udp_primitive_1(p):
     if(parse_debug):
         print('udp_primitive_1', list(p))
 
+
     # { perm_string tmp2 = lex_strings.make(p[2]);
     #            pform_make_udp(tmp2, p[4], p[7], p[9], p[8],
     #                           @2.text, @2.first_line);
@@ -12898,6 +13765,7 @@ def p_udp_primitive_2(p):
     if(parse_debug):
         print('udp_primitive_2', list(p))
 
+
     # { perm_string tmp2 = lex_strings.make(p[2]);
     #            perm_string tmp6 = lex_strings.make(p[6]);
     #            pform_make_udp(tmp2, p[5], tmp6, p[7], p[9], p[12],