add subvl to headers, comment out state-cfg
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "simif.h"
8 #include "mmu.h"
9 #include "disasm.h"
10 #include <cinttypes>
11 #include <cmath>
12 #include <cstdlib>
13 #include <iostream>
14 #include <assert.h>
15 #include <limits.h>
16 #include <stdexcept>
17 #include <algorithm>
18 #ifdef SPIKE_SIMPLEV
19 #include "sv_insn_redirect.h"
20 #endif
21
22 #undef STATE
23 #define STATE state
24
25 processor_t::processor_t(const char* isa, simif_t* sim, uint32_t id,
26 bool halt_on_reset)
27 : debug(false), halt_request(false), sim(sim), ext(NULL), id(id),
28 halt_on_reset(halt_on_reset), last_pc(1), executions(1)
29 #ifdef SPIKE_SIMPLEV
30 , s(this)
31 #endif
32 {
33 parse_isa_string(isa);
34 register_base_instructions();
35
36 #ifdef SPIKE_SIMPLEV
37 mmu = new sv_mmu_t(sim, this);
38 #else
39 mmu = new mmu_t(sim, this);
40 #endif
41
42 disassembler = new disassembler_t(max_xlen);
43 if (ext)
44 for (auto disasm_insn : ext->get_disasms())
45 disassembler->add_insn(disasm_insn);
46
47 reset();
48 }
49
50 processor_t::~processor_t()
51 {
52 #ifdef RISCV_ENABLE_HISTOGRAM
53 if (histogram_enabled)
54 {
55 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
56 for (auto it : pc_histogram)
57 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
58 }
59 #endif
60
61 delete mmu;
62 delete disassembler;
63 }
64
65 static void bad_isa_string(const char* isa)
66 {
67 fprintf(stderr, "error: bad --isa option %s\n", isa);
68 abort();
69 }
70
71 void processor_t::parse_isa_string(const char* str)
72 {
73 std::string lowercase, tmp;
74 for (const char *r = str; *r; r++)
75 lowercase += std::tolower(*r);
76
77 const char* p = lowercase.c_str();
78 const char* all_subsets = "imafdqc";
79
80 max_xlen = 64;
81 state.misa = reg_t(2) << 62;
82
83 if (strncmp(p, "rv32", 4) == 0)
84 max_xlen = 32, state.misa = reg_t(1) << 30, p += 4;
85 else if (strncmp(p, "rv64", 4) == 0)
86 p += 4;
87 else if (strncmp(p, "rv", 2) == 0)
88 p += 2;
89
90 if (!*p) {
91 p = "imafdc";
92 } else if (*p == 'g') { // treat "G" as "IMAFD"
93 tmp = std::string("imafd") + (p+1);
94 p = &tmp[0];
95 } else if (*p != 'i') {
96 bad_isa_string(str);
97 }
98
99 isa_string = "rv" + std::to_string(max_xlen) + p;
100 state.misa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
101 state.misa |= 1L << ('u' - 'a'); // advertise support for user mode
102
103 while (*p) {
104 state.misa |= 1L << (*p - 'a');
105
106 if (auto next = strchr(all_subsets, *p)) {
107 all_subsets = next + 1;
108 p++;
109 } else if (*p == 'x') {
110 const char* ext = p+1, *end = ext;
111 while (islower(*end))
112 end++;
113 register_extension(find_extension(std::string(ext, end - ext).c_str())());
114 p = end;
115 } else {
116 bad_isa_string(str);
117 }
118 }
119
120 if (supports_extension('D') && !supports_extension('F'))
121 bad_isa_string(str);
122
123 if (supports_extension('Q') && !supports_extension('D'))
124 bad_isa_string(str);
125
126 if (supports_extension('Q') && max_xlen < 64)
127 bad_isa_string(str);
128
129 max_isa = state.misa;
130 }
131
132 void state_t::reset(reg_t max_isa)
133 {
134 memset(this, 0, sizeof(*this));
135 misa = max_isa;
136 prv = PRV_M;
137 pc = DEFAULT_RSTVEC;
138 tselect = 0;
139 for (unsigned int i = 0; i < num_triggers; i++)
140 mcontrol[i].type = 2;
141 #ifdef SPIKE_SIMPLEV
142 // set SV CSR banks to default (full) sizes
143 msv.state_size = 1;
144 ssv.state_size = 1;
145 usv.state_size = 3;
146 #endif
147 }
148
149 void sv_shape_t::setup_map()
150 {
151 int order[3] = {};
152 int lims[3] = {xsz, ysz, zsz};
153 int idxs[3] = {0,0,0};
154
155 switch (permute) {
156 case SV_SHAPE_PERM_XYZ: order[0] = 0; order[1] = 1; order[2] = 2; break;
157 case SV_SHAPE_PERM_XZY: order[0] = 0; order[1] = 2; order[2] = 1; break;
158 case SV_SHAPE_PERM_YXZ: order[0] = 1; order[1] = 0; order[2] = 2; break;
159 case SV_SHAPE_PERM_YZX: order[0] = 1; order[1] = 2; order[2] = 0; break;
160 case SV_SHAPE_PERM_ZXY: order[0] = 2; order[1] = 0; order[2] = 1; break;
161 case SV_SHAPE_PERM_ZYX: order[0] = 2; order[1] = 1; order[2] = 0; break;
162 default: throw trap_illegal_instruction(0);
163 }
164 for (int i = 0; i < 128; i++)
165 {
166 uint8_t new_idx = idxs[0] + idxs[1] * xsz + idxs[2] * xsz * ysz;
167 map[i] = new_idx;
168 for (int j = 0; j < 3; j++)
169 {
170 idxs[order[j]] = idxs[order[j]] + 1;
171 if (idxs[order[j]] != lims[order[j]]) {
172 break;
173 }
174 idxs[order[j]] = 0;
175 }
176 }
177 }
178
179 int state_t::sv_csr_sz()
180 {
181 if (prv == PRV_M)
182 return SV_MCSR_SZ;
183 if (prv == PRV_S)
184 return SV_SCSR_SZ;
185 return SV_UCSR_SZ;
186 }
187 sv_csr_t &state_t::sv()
188 {
189 if (prv == PRV_M)
190 return get_msv();
191 if (prv == PRV_S)
192 return get_ssv();
193 return get_usv();
194 }
195
196 sv_shape_t* state_t::get_shape(reg_t reg, bool pred)
197 {
198 if (prv == PRV_M || prv == PRV_S || reg == 0) {
199 return NULL;
200 }
201 for (int i = 0; i < 3; i++) {
202 if (remap[i].regidx == reg && remap[i].pred == pred) {
203 return &shape[i];
204 }
205 }
206 return NULL;
207 }
208
209 void processor_t::set_debug(bool value)
210 {
211 debug = value;
212 if (ext)
213 ext->set_debug(value);
214 }
215
216 void processor_t::set_histogram(bool value)
217 {
218 histogram_enabled = value;
219 #ifndef RISCV_ENABLE_HISTOGRAM
220 if (value) {
221 fprintf(stderr, "PC Histogram support has not been properly enabled;");
222 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
223 }
224 #endif
225 }
226
227 void processor_t::reset()
228 {
229 state.reset(max_isa);
230 state.dcsr.halt = halt_on_reset;
231 halt_on_reset = false;
232 set_csr(CSR_MSTATUS, state.mstatus);
233
234 if (ext)
235 ext->reset(); // reset the extension
236
237 if (sim)
238 sim->proc_reset(id);
239 }
240
241 // Count number of contiguous 0 bits starting from the LSB.
242 static int ctz(reg_t val)
243 {
244 int res = 0;
245 if (val)
246 while ((val & 1) == 0)
247 val >>= 1, res++;
248 return res;
249 }
250
251 void processor_t::take_interrupt(reg_t pending_interrupts)
252 {
253 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
254 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
255 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
256
257 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
258 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
259 // M-ints have highest priority; consider S-ints only if no M-ints pending
260 if (enabled_interrupts == 0)
261 enabled_interrupts = pending_interrupts & state.mideleg & -s_enabled;
262
263 if (state.dcsr.cause == 0 && enabled_interrupts) {
264 // nonstandard interrupts have highest priority
265 if (enabled_interrupts >> IRQ_M_EXT)
266 enabled_interrupts = enabled_interrupts >> IRQ_M_EXT << IRQ_M_EXT;
267 // external interrupts have next-highest priority
268 else if (enabled_interrupts & (MIP_MEIP | MIP_SEIP))
269 enabled_interrupts = enabled_interrupts & (MIP_MEIP | MIP_SEIP);
270 // software interrupts have next-highest priority
271 else if (enabled_interrupts & (MIP_MSIP | MIP_SSIP))
272 enabled_interrupts = enabled_interrupts & (MIP_MSIP | MIP_SSIP);
273 // timer interrupts have next-highest priority
274 else if (enabled_interrupts & (MIP_MTIP | MIP_STIP))
275 enabled_interrupts = enabled_interrupts & (MIP_MTIP | MIP_STIP);
276 else
277 abort();
278
279 throw trap_t(((reg_t)1 << (max_xlen-1)) | ctz(enabled_interrupts));
280 }
281 }
282
283 static int xlen_to_uxl(int xlen)
284 {
285 if (xlen == 32)
286 return 1;
287 if (xlen == 64)
288 return 2;
289 abort();
290 }
291
292 reg_t processor_t::legalize_privilege(reg_t prv)
293 {
294 assert(prv <= PRV_M);
295
296 if (!supports_extension('U'))
297 return PRV_M;
298
299 if (prv == PRV_H || !supports_extension('S'))
300 return PRV_U;
301
302 return prv;
303 }
304
305 void processor_t::set_privilege(reg_t prv)
306 {
307 mmu->flush_tlb();
308 state.prv = legalize_privilege(prv);
309 }
310
311 void processor_t::enter_debug_mode(uint8_t cause)
312 {
313 state.dcsr.cause = cause;
314 state.dcsr.prv = state.prv;
315 set_privilege(PRV_M);
316 state.dpc = state.pc;
317 state.pc = DEBUG_ROM_ENTRY;
318 }
319
320 void processor_t::take_trap(trap_t& t, reg_t epc)
321 {
322 if (debug) {
323 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
324 id, t.name(), epc);
325 if (t.has_tval())
326 fprintf(stderr, "core %3d: tval 0x%016" PRIx64 "\n", id,
327 t.get_tval());
328 }
329
330 if (state.dcsr.cause) {
331 if (t.cause() == CAUSE_BREAKPOINT) {
332 state.pc = DEBUG_ROM_ENTRY;
333 } else {
334 state.pc = DEBUG_ROM_TVEC;
335 }
336 return;
337 }
338
339 if (t.cause() == CAUSE_BREAKPOINT && (
340 (state.prv == PRV_M && state.dcsr.ebreakm) ||
341 (state.prv == PRV_S && state.dcsr.ebreaks) ||
342 (state.prv == PRV_U && state.dcsr.ebreaku))) {
343 enter_debug_mode(DCSR_CAUSE_SWBP);
344 return;
345 }
346
347 // by default, trap to M-mode, unless delegated to S-mode
348 reg_t bit = t.cause();
349 reg_t deleg = state.medeleg;
350 bool interrupt = (bit & ((reg_t)1 << (max_xlen-1))) != 0;
351 if (interrupt)
352 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
353 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
354 // handle the trap in S-mode
355 state.pc = state.stvec;
356 state.scause = t.cause();
357 state.sepc = epc;
358 state.stval = t.get_tval();
359
360 reg_t s = state.mstatus;
361 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_SIE));
362 s = set_field(s, MSTATUS_SPP, state.prv);
363 s = set_field(s, MSTATUS_SIE, 0);
364 set_csr(CSR_MSTATUS, s);
365 set_privilege(PRV_S);
366 } else {
367 reg_t vector = (state.mtvec & 1) && interrupt ? 4*bit : 0;
368 state.pc = (state.mtvec & ~(reg_t)1) + vector;
369 state.mepc = epc;
370 state.mcause = t.cause();
371 state.mtval = t.get_tval();
372
373 reg_t s = state.mstatus;
374 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_MIE));
375 s = set_field(s, MSTATUS_MPP, state.prv);
376 s = set_field(s, MSTATUS_MIE, 0);
377 set_csr(CSR_MSTATUS, s);
378 set_privilege(PRV_M);
379 }
380 }
381
382 void processor_t::disasm(insn_t insn)
383 {
384 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
385 if (last_pc != state.pc || last_bits != bits) {
386 if (executions != 1) {
387 fprintf(stderr, "core %3d: Executed %" PRIx64 " times\n", id, executions);
388 }
389
390 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
391 id, state.pc, bits, disassembler->disassemble(insn).c_str());
392 last_pc = state.pc;
393 last_bits = bits;
394 executions = 1;
395 } else {
396 executions++;
397 }
398 }
399
400 int processor_t::paddr_bits()
401 {
402 assert(xlen == max_xlen);
403 return max_xlen == 64 ? 50 : 34;
404 }
405
406 void state_t::get_csr_start_end(int &start, int &end)
407 {
408 start = sv().state_bank * 4;
409 end = start + (1 << (sv().state_size+1));
410 start = std::min(sv_csr_sz(), start);
411 end = std::min(sv_csr_sz(), end);
412 fprintf(stderr, "sv state csr start/end: %d %d\n", start, end);
413 }
414
415 void state_t::sv_csr_reg_unpack()
416 {
417 // okaaay and now "unpack" the CAM to make it easier to use. this
418 // approach is not designed to be efficient right now. optimise later
419 // first clear the old tables
420 memset(sv().sv_int_tb, 0, sizeof(sv().sv_int_tb));
421 memset(sv().sv_fp_tb, 0, sizeof(sv().sv_fp_tb));
422 // now walk the CAM and unpack it
423 int start = 0;
424 int end = 0;
425 get_csr_start_end(start, end);
426 for (int i = start; i < end; i++)
427 {
428 union sv_reg_csr_entry *c = &sv().sv_csrs[i];
429 uint64_t idx = c->b.regkey;
430 sv_reg_entry *r;
431 if (c->u == 0)
432 {
433 break;
434 }
435 // XXX damn. this basically duplicates sv_insn_t::get_regentry.
436 if (c->b.type == 1)
437 {
438 r = &sv().sv_int_tb[idx];
439 }
440 else
441 {
442 r = &sv().sv_fp_tb[idx];
443 }
444 r->elwidth = c->b.elwidth;
445 r->regidx = c->b.regidx;
446 r->isvec = c->b.isvec;
447 r->active = true;
448 fprintf(stderr, "setting REGCFG type:%d isvec:%d %d %d\n",
449 c->b.type, r->isvec, (int)idx, (int)r->regidx);
450 }
451 }
452
453 void state_t::sv_csr_pred_unpack()
454 {
455 memset(sv().sv_pred_int_tb, 0, sizeof(sv().sv_pred_int_tb));
456 memset(sv().sv_pred_fp_tb, 0, sizeof(sv().sv_pred_fp_tb));
457 int start = 0;
458 int end = 0;
459 get_csr_start_end(start, end);
460 for (int i = start; i < end; i++)
461 {
462 union sv_pred_csr_entry *c = &sv().sv_pred_csrs[i];
463 uint64_t idx = c->b.regkey;
464 if (c->u == 0)
465 {
466 break;
467 }
468 sv_pred_entry *r;
469 // XXX damn. this basically duplicates sv_insn_t::get_predentry.
470 if (c->b.type == 1)
471 {
472 r = &sv().sv_pred_int_tb[idx];
473 }
474 else
475 {
476 r = &sv().sv_pred_fp_tb[idx];
477 }
478 r->regidx = c->b.regidx;
479 r->zero = c->b.zero;
480 r->inv = c->b.inv;
481 r->ffirst = c->b.ffirst;
482 r->active = true;
483 fprintf(stderr, "setting PREDCFG %d type:%d zero:%d %d %d\n",
484 i, c->b.type, r->zero, (int)idx, (int)r->regidx);
485 }
486 }
487
488 reg_t processor_t::set_csr(int which, reg_t val, bool imm_mode)
489 {
490 reg_t old_val = get_csr(which);
491 val = _zext_xlen(val);
492 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP
493 | ((ext != NULL) << IRQ_COP);
494 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
495 fprintf(stderr, "set CSR %x %lx\n", which, val);
496 switch (which)
497 {
498 #ifdef SPIKE_SIMPLEV
499 case CSR_USVMVL:
500 state.sv().mvl = std::min(val+1, (uint64_t)64); // limited to XLEN width
501 old_val = state.sv().mvl - 1;
502 // TODO XXX throw exception if val == 0
503 fprintf(stderr, "set MVL %lx\n", state.sv().mvl);
504 break;
505 case CSR_USVSTATE:
506 {
507 // bits 0-5: mvl - 6-11: vl - 12-17: srcoffs - 18-23: destoffs
508 set_csr(CSR_USVMVL, get_field(val, SV_STATE_VL )+1);
509 set_csr(CSR_USVVL , get_field(val, SV_STATE_MVL)+1);
510 reg_t srcoffs = get_field(val, SV_STATE_SRCOFFS);
511 reg_t destoffs = get_field(val, SV_STATE_DESTOFFS);
512 state.sv().srcoffs = std::min(srcoffs , state.sv().vl-1);
513 state.sv().destoffs = std::min(destoffs, state.sv().vl-1);
514 //int state_bank = get_field(val, SV_STATE_BANK);
515 //int state_size = get_field(val, SV_STATE_SIZE);
516 //set_csr(CSR_USVCFG, state_bank | (state_size << 3));
517 break;
518 }
519 case CSR_USVCFG:
520 {
521 int old_bank = state.sv().state_bank;
522 int old_size = state.sv().state_size;
523 state.sv().state_bank = get_field(val, SV_CFG_BANK);
524 state.sv().state_size = get_field(val, SV_CFG_SIZE) >> 3;
525 if (old_bank != state.sv().state_bank ||
526 old_size != state.sv().state_size)
527 {
528 // if the bank or size is changed, the csrs that are enabled
529 // also changes. easiest thing in software: recalculate them all
530 state.sv_csr_pred_unpack();
531 state.sv_csr_reg_unpack();
532 }
533 break;
534 }
535 case CSR_USVVL:
536 state.sv().vl = std::min(state.sv().mvl, val + 1);
537 old_val = state.sv().mvl - 1;
538 // TODO XXX throw exception if val == 0
539 fprintf(stderr, "set VL %lx\n", state.sv().vl);
540 break;
541 case CSR_SVREGTOP:
542 case CSR_SVREGBOT:
543 {
544 bool top = (which == CSR_SVREGTOP);
545 uint64_t v = (uint64_t)val;
546 fprintf(stderr, "set SVREG %d %lx\n", top, v);
547 int start = 0;
548 int end = 0;
549 state.get_csr_start_end(start, end);
550 uint64_t res_old = 0;
551 int num_entries = val & 0xf;
552 int max_xlen_entries = (xlen == 64) ? 4 : 2;
553 if (!imm_mode) {
554 num_entries = max_xlen_entries;
555 }
556 // read 2 16-bit entries for RV32, 4 16-bit entries for RV64
557 int popidx = 0;
558 for (int i = 0; i < num_entries; i++) {
559 uint64_t svcfg = 0;
560 if (!imm_mode) {
561 uint64_t mask = 0xffffUL << (i*16UL);
562 svcfg = get_field(v, mask);
563 fprintf(stderr, "SVREG mask %lx cfg %lx\n", mask, svcfg);
564 if (!svcfg && i > 0) {
565 break;
566 }
567 }
568 // see regpush on how this works.
569 uint64_t res = state.sv().regpush(svcfg, end, top);
570 if (res != 0) {
571 res_old |= res << (popidx * 16UL);
572 popidx += 1;
573 if (popidx == max_xlen_entries) {
574 break;
575 }
576 }
577 }
578 old_val = res_old;
579 state.sv_csr_reg_unpack();
580 break;
581 }
582 case CSR_SVPREDCFG0:
583 case CSR_SVPREDCFG1:
584 case CSR_SVPREDCFG2:
585 case CSR_SVPREDCFG3:
586 case CSR_SVPREDCFG4:
587 case CSR_SVPREDCFG5:
588 case CSR_SVPREDCFG6:
589 case CSR_SVPREDCFG7:
590 {
591 // comments removed as it's near-identical to the regs version
592 // TODO: macro-ify
593 uint64_t v = (uint64_t)val;
594 int tbidx = (which - CSR_SVPREDCFG0) * 2;
595 fprintf(stderr, "set PREDCFG %d %lx\n", tbidx, v);
596 state.sv().sv_pred_csrs[tbidx].u = get_field(v, 0xffff);
597 state.sv().sv_pred_csrs[tbidx+1].u = get_field(v, 0xffff0000);
598 int clroffset = 2;
599 if (xlen == 64)
600 {
601 state.sv().sv_pred_csrs[tbidx+2].u = get_field(v, 0xffffUL<<32);
602 state.sv().sv_pred_csrs[tbidx+3].u = get_field(v, 0xffffUL<<48);
603 clroffset = 4;
604 }
605 for (int i = tbidx+clroffset; i < 16; i++)
606 {
607 state.sv().sv_pred_csrs[i].u = 0;
608 }
609 state.sv_csr_pred_unpack();
610 break;
611 }
612 case CSR_UREMAP:
613 {
614 state.remap[0].regidx = get_field(val, SV_REMAP_REGIDX0);
615 state.remap[1].regidx = get_field(val, SV_REMAP_REGIDX1);
616 state.remap[2].regidx = get_field(val, SV_REMAP_REGIDX2);
617 state.remap[0].pred = get_field(val, SV_REMAP_PRED0);
618 state.remap[1].pred = get_field(val, SV_REMAP_PRED1);
619 state.remap[2].pred = get_field(val, SV_REMAP_PRED2);
620 state.remap[0].shape = get_field(val, SV_REMAP_SHAPE0);
621 state.remap[1].shape = get_field(val, SV_REMAP_SHAPE1);
622 state.remap[2].shape = get_field(val, SV_REMAP_SHAPE2);
623 break;
624 }
625 case CSR_USHAPE0:
626 case CSR_USHAPE1:
627 case CSR_USHAPE2:
628 {
629 int shapeidx = which - CSR_USHAPE0;
630 state.shape[shapeidx].xsz = get_field(val, SV_SHAPE_XDIM) + 1;
631 state.shape[shapeidx].ysz = get_field(val, SV_SHAPE_YDIM) + 1;
632 state.shape[shapeidx].zsz = get_field(val, SV_SHAPE_ZDIM) + 1;
633 state.shape[shapeidx].offs = (get_field(val, (1<<7 )) ? 0x1 : 0) |
634 (get_field(val, (1<<15)) ? 0x2 : 0) |
635 (get_field(val, (1<<23)) ? 0x4 : 0);
636 state.shape[shapeidx].permute = get_field(val, SV_SHAPE_PERM);
637 state.shape[shapeidx].setup_map();
638 fprintf(stderr, "sv shape %d x %d y %d z %d offs %d perm %d\n",
639 shapeidx,
640 state.shape[shapeidx].xsz,
641 state.shape[shapeidx].ysz,
642 state.shape[shapeidx].zsz,
643 state.shape[shapeidx].offs,
644 state.shape[shapeidx].permute);
645 break;
646 }
647 #endif
648 case CSR_FFLAGS:
649 dirty_fp_state;
650 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
651 break;
652 case CSR_FRM:
653 dirty_fp_state;
654 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
655 break;
656 case CSR_FCSR:
657 dirty_fp_state;
658 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
659 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
660 break;
661 case CSR_MSTATUS: {
662 if ((val ^ state.mstatus) &
663 (MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_SUM | MSTATUS_MXR))
664 mmu->flush_tlb();
665
666 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
667 | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM
668 | MSTATUS_MXR | MSTATUS_TW | MSTATUS_TVM
669 | MSTATUS_TSR | MSTATUS_UXL | MSTATUS_SXL |
670 (ext ? MSTATUS_XS : 0);
671
672 reg_t requested_mpp = legalize_privilege(get_field(val, MSTATUS_MPP));
673 state.mstatus = set_field(state.mstatus, MSTATUS_MPP, requested_mpp);
674 if (supports_extension('S'))
675 mask |= MSTATUS_SPP;
676
677 state.mstatus = (state.mstatus & ~mask) | (val & mask);
678
679 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
680 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
681 if (max_xlen == 32)
682 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
683 else
684 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
685
686 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
687 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
688 state.mstatus = set_field(state.mstatus, MSTATUS_SXL, xlen_to_uxl(max_xlen));
689 // U-XLEN == S-XLEN == M-XLEN
690 xlen = max_xlen;
691 break;
692 }
693 case CSR_MIP: {
694 reg_t mask = MIP_SSIP | MIP_STIP;
695 state.mip = (state.mip & ~mask) | (val & mask);
696 break;
697 }
698 case CSR_MIE:
699 state.mie = (state.mie & ~all_ints) | (val & all_ints);
700 break;
701 case CSR_MIDELEG:
702 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
703 break;
704 case CSR_MEDELEG: {
705 reg_t mask =
706 (1 << CAUSE_MISALIGNED_FETCH) |
707 (1 << CAUSE_BREAKPOINT) |
708 (1 << CAUSE_USER_ECALL) |
709 (1 << CAUSE_FETCH_PAGE_FAULT) |
710 (1 << CAUSE_LOAD_PAGE_FAULT) |
711 (1 << CAUSE_STORE_PAGE_FAULT);
712 state.medeleg = (state.medeleg & ~mask) | (val & mask);
713 break;
714 }
715 case CSR_MINSTRET:
716 case CSR_MCYCLE:
717 if (xlen == 32)
718 state.minstret = (state.minstret >> 32 << 32) | (val & 0xffffffffU);
719 else
720 state.minstret = val;
721 // The ISA mandates that if an instruction writes instret, the write
722 // takes precedence over the increment to instret. However, Spike
723 // unconditionally increments instret after executing an instruction.
724 // Correct for this artifact by decrementing instret here.
725 state.minstret--;
726 break;
727 case CSR_MINSTRETH:
728 case CSR_MCYCLEH:
729 state.minstret = (val << 32) | (state.minstret << 32 >> 32);
730 state.minstret--; // See comment above.
731 break;
732 case CSR_SCOUNTEREN:
733 state.scounteren = val;
734 break;
735 case CSR_MCOUNTEREN:
736 state.mcounteren = val;
737 break;
738 case CSR_SSTATUS: {
739 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
740 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR;
741 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
742 }
743 case CSR_SIP: {
744 reg_t mask = MIP_SSIP & state.mideleg;
745 return set_csr(CSR_MIP, (state.mip & ~mask) | (val & mask));
746 }
747 case CSR_SIE:
748 return set_csr(CSR_MIE,
749 (state.mie & ~state.mideleg) | (val & state.mideleg));
750 case CSR_SATP: {
751 mmu->flush_tlb();
752 if (max_xlen == 32)
753 state.satp = val & (SATP32_PPN | SATP32_MODE);
754 if (max_xlen == 64 && (get_field(val, SATP64_MODE) == SATP_MODE_OFF ||
755 get_field(val, SATP64_MODE) == SATP_MODE_SV39 ||
756 get_field(val, SATP64_MODE) == SATP_MODE_SV48))
757 state.satp = val & (SATP64_PPN | SATP64_MODE);
758 break;
759 }
760 case CSR_SEPC: state.sepc = val & ~(reg_t)1; break;
761 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
762 case CSR_SSCRATCH: state.sscratch = val; break;
763 case CSR_SCAUSE: state.scause = val; break;
764 case CSR_STVAL: state.stval = val; break;
765 case CSR_MEPC: state.mepc = val & ~(reg_t)1; break;
766 case CSR_MTVEC: state.mtvec = val & ~(reg_t)2; break;
767 case CSR_MSCRATCH: state.mscratch = val; break;
768 case CSR_MCAUSE: state.mcause = val; break;
769 case CSR_MTVAL: state.mtval = val; break;
770 case CSR_MISA: {
771 // the write is ignored if increasing IALIGN would misalign the PC
772 if (!(val & (1L << ('C' - 'A'))) && (state.pc & 2))
773 break;
774
775 if (!(val & (1L << ('F' - 'A'))))
776 val &= ~(1L << ('D' - 'A'));
777
778 // allow MAFDC bits in MISA to be modified
779 reg_t mask = 0;
780 mask |= 1L << ('M' - 'A');
781 mask |= 1L << ('A' - 'A');
782 mask |= 1L << ('F' - 'A');
783 mask |= 1L << ('D' - 'A');
784 mask |= 1L << ('C' - 'A');
785 mask &= max_isa;
786
787 state.misa = (val & mask) | (state.misa & ~mask);
788 break;
789 }
790 case CSR_TSELECT:
791 if (val < state.num_triggers) {
792 state.tselect = val;
793 }
794 break;
795 case CSR_TDATA1:
796 {
797 mcontrol_t *mc = &state.mcontrol[state.tselect];
798 if (mc->dmode && !state.dcsr.cause) {
799 break;
800 }
801 mc->dmode = get_field(val, MCONTROL_DMODE(xlen));
802 mc->select = get_field(val, MCONTROL_SELECT);
803 mc->timing = get_field(val, MCONTROL_TIMING);
804 mc->action = (mcontrol_action_t) get_field(val, MCONTROL_ACTION);
805 mc->chain = get_field(val, MCONTROL_CHAIN);
806 mc->match = (mcontrol_match_t) get_field(val, MCONTROL_MATCH);
807 mc->m = get_field(val, MCONTROL_M);
808 mc->h = get_field(val, MCONTROL_H);
809 mc->s = get_field(val, MCONTROL_S);
810 mc->u = get_field(val, MCONTROL_U);
811 mc->execute = get_field(val, MCONTROL_EXECUTE);
812 mc->store = get_field(val, MCONTROL_STORE);
813 mc->load = get_field(val, MCONTROL_LOAD);
814 // Assume we're here because of csrw.
815 if (mc->execute)
816 mc->timing = 0;
817 trigger_updated();
818 }
819 break;
820 case CSR_TDATA2:
821 if (state.mcontrol[state.tselect].dmode && !state.dcsr.cause) {
822 break;
823 }
824 if (state.tselect < state.num_triggers) {
825 state.tdata2[state.tselect] = val;
826 }
827 break;
828 case CSR_DCSR:
829 state.dcsr.prv = get_field(val, DCSR_PRV);
830 state.dcsr.step = get_field(val, DCSR_STEP);
831 // TODO: ndreset and fullreset
832 state.dcsr.ebreakm = get_field(val, DCSR_EBREAKM);
833 state.dcsr.ebreakh = get_field(val, DCSR_EBREAKH);
834 state.dcsr.ebreaks = get_field(val, DCSR_EBREAKS);
835 state.dcsr.ebreaku = get_field(val, DCSR_EBREAKU);
836 state.dcsr.halt = get_field(val, DCSR_HALT);
837 break;
838 case CSR_DPC:
839 state.dpc = val & ~(reg_t)1;
840 break;
841 case CSR_DSCRATCH:
842 state.dscratch = val;
843 break;
844 }
845 return old_val;
846 }
847
848 reg_t processor_t::get_csr(int which)
849 {
850 uint32_t ctr_en = -1;
851 if (state.prv < PRV_M)
852 ctr_en &= state.mcounteren;
853 if (state.prv < PRV_S)
854 ctr_en &= state.scounteren;
855 bool ctr_ok = (ctr_en >> (which & 31)) & 1;
856
857 if (ctr_ok) {
858 if (which >= CSR_HPMCOUNTER3 && which <= CSR_HPMCOUNTER31)
859 return 0;
860 if (xlen == 32 && which >= CSR_HPMCOUNTER3H && which <= CSR_HPMCOUNTER31H)
861 return 0;
862 }
863 if (which >= CSR_MHPMCOUNTER3 && which <= CSR_MHPMCOUNTER31)
864 return 0;
865 if (xlen == 32 && which >= CSR_MHPMCOUNTER3H && which <= CSR_MHPMCOUNTER31H)
866 return 0;
867 if (which >= CSR_MHPMEVENT3 && which <= CSR_MHPMEVENT31)
868 return 0;
869
870 switch (which)
871 {
872 #ifdef SPIKE_SIMPLEV
873 case CSR_USVVL:
874 return state.sv().vl;
875 case CSR_USVCFG:
876 return (state.sv().state_bank) | (state.sv().state_size<<3);
877 case CSR_USVSTATE:
878 return (state.sv().vl-1) | ((state.sv().mvl-1)<<6) |
879 (state.sv().srcoffs<<12) | (state.sv().destoffs<<18) |
880 (state.sv().state_bank<<24) | (state.sv().state_size<<26);
881 case CSR_USVMVL:
882 return state.sv().mvl;
883 case CSR_SVREGTOP:
884 case CSR_SVREGBOT:
885 return 0;// XXX TODO: return correct entry
886 case CSR_SVPREDCFG0:
887 case CSR_SVPREDCFG1:
888 case CSR_SVPREDCFG2:
889 case CSR_SVPREDCFG3:
890 case CSR_SVPREDCFG4:
891 case CSR_SVPREDCFG5:
892 case CSR_SVPREDCFG6:
893 case CSR_SVPREDCFG7:
894 return 0;// XXX TODO: return correct entry
895 case CSR_UREMAP:
896 return 0;// XXX TODO: return correct entry
897 case CSR_USHAPE0:
898 case CSR_USHAPE1:
899 case CSR_USHAPE2:
900 return 0;// XXX TODO: return correct entry
901 #endif
902 case CSR_FFLAGS:
903 require_fp;
904 if (!supports_extension('F'))
905 break;
906 return state.fflags;
907 case CSR_FRM:
908 require_fp;
909 if (!supports_extension('F'))
910 break;
911 return state.frm;
912 case CSR_FCSR:
913 require_fp;
914 if (!supports_extension('F'))
915 break;
916 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
917 case CSR_INSTRET:
918 case CSR_CYCLE:
919 if (ctr_ok)
920 return state.minstret;
921 break;
922 case CSR_MINSTRET:
923 case CSR_MCYCLE:
924 return state.minstret;
925 case CSR_INSTRETH:
926 case CSR_CYCLEH:
927 if (ctr_ok && xlen == 32)
928 return state.minstret >> 32;
929 break;
930 case CSR_MINSTRETH:
931 case CSR_MCYCLEH:
932 if (xlen == 32)
933 return state.minstret >> 32;
934 break;
935 case CSR_SCOUNTEREN: return state.scounteren;
936 case CSR_MCOUNTEREN: return state.mcounteren;
937 case CSR_SSTATUS: {
938 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
939 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR | SSTATUS_UXL;
940 reg_t sstatus = state.mstatus & mask;
941 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
942 (sstatus & SSTATUS_XS) == SSTATUS_XS)
943 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
944 return sstatus;
945 }
946 case CSR_SIP: return state.mip & state.mideleg;
947 case CSR_SIE: return state.mie & state.mideleg;
948 case CSR_SEPC: return state.sepc & pc_alignment_mask();
949 case CSR_STVAL: return state.stval;
950 case CSR_STVEC: return state.stvec;
951 case CSR_SCAUSE:
952 if (max_xlen > xlen)
953 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
954 return state.scause;
955 case CSR_SATP:
956 if (get_field(state.mstatus, MSTATUS_TVM))
957 require_privilege(PRV_M);
958 return state.satp;
959 case CSR_SSCRATCH: return state.sscratch;
960 case CSR_MSTATUS: return state.mstatus;
961 case CSR_MIP: return state.mip;
962 case CSR_MIE: return state.mie;
963 case CSR_MEPC: return state.mepc & pc_alignment_mask();
964 case CSR_MSCRATCH: return state.mscratch;
965 case CSR_MCAUSE: return state.mcause;
966 case CSR_MTVAL: return state.mtval;
967 case CSR_MISA: return state.misa;
968 case CSR_MARCHID: return 0;
969 case CSR_MIMPID: return 0;
970 case CSR_MVENDORID: return 0;
971 case CSR_MHARTID: return id;
972 case CSR_MTVEC: return state.mtvec;
973 case CSR_MEDELEG: return state.medeleg;
974 case CSR_MIDELEG: return state.mideleg;
975 case CSR_TSELECT: return state.tselect;
976 case CSR_TDATA1:
977 if (state.tselect < state.num_triggers) {
978 reg_t v = 0;
979 mcontrol_t *mc = &state.mcontrol[state.tselect];
980 v = set_field(v, MCONTROL_TYPE(xlen), mc->type);
981 v = set_field(v, MCONTROL_DMODE(xlen), mc->dmode);
982 v = set_field(v, MCONTROL_MASKMAX(xlen), mc->maskmax);
983 v = set_field(v, MCONTROL_SELECT, mc->select);
984 v = set_field(v, MCONTROL_TIMING, mc->timing);
985 v = set_field(v, MCONTROL_ACTION, mc->action);
986 v = set_field(v, MCONTROL_CHAIN, mc->chain);
987 v = set_field(v, MCONTROL_MATCH, mc->match);
988 v = set_field(v, MCONTROL_M, mc->m);
989 v = set_field(v, MCONTROL_H, mc->h);
990 v = set_field(v, MCONTROL_S, mc->s);
991 v = set_field(v, MCONTROL_U, mc->u);
992 v = set_field(v, MCONTROL_EXECUTE, mc->execute);
993 v = set_field(v, MCONTROL_STORE, mc->store);
994 v = set_field(v, MCONTROL_LOAD, mc->load);
995 return v;
996 } else {
997 return 0;
998 }
999 break;
1000 case CSR_TDATA2:
1001 if (state.tselect < state.num_triggers) {
1002 return state.tdata2[state.tselect];
1003 } else {
1004 return 0;
1005 }
1006 break;
1007 case CSR_TDATA3: return 0;
1008 case CSR_DCSR:
1009 {
1010 uint32_t v = 0;
1011 v = set_field(v, DCSR_XDEBUGVER, 1);
1012 v = set_field(v, DCSR_EBREAKM, state.dcsr.ebreakm);
1013 v = set_field(v, DCSR_EBREAKH, state.dcsr.ebreakh);
1014 v = set_field(v, DCSR_EBREAKS, state.dcsr.ebreaks);
1015 v = set_field(v, DCSR_EBREAKU, state.dcsr.ebreaku);
1016 v = set_field(v, DCSR_STOPCYCLE, 0);
1017 v = set_field(v, DCSR_STOPTIME, 0);
1018 v = set_field(v, DCSR_CAUSE, state.dcsr.cause);
1019 v = set_field(v, DCSR_STEP, state.dcsr.step);
1020 v = set_field(v, DCSR_PRV, state.dcsr.prv);
1021 return v;
1022 }
1023 case CSR_DPC:
1024 return state.dpc & pc_alignment_mask();
1025 case CSR_DSCRATCH:
1026 return state.dscratch;
1027 }
1028 throw trap_illegal_instruction(0);
1029 }
1030
1031 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
1032 {
1033 throw trap_illegal_instruction(0);
1034 }
1035
1036 insn_func_t processor_t::decode_insn(insn_t insn)
1037 {
1038 // look up opcode in hash table
1039 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
1040 insn_desc_t desc = opcode_cache[idx];
1041
1042 if (unlikely(insn.bits() != desc.match)) {
1043 // fall back to linear search
1044 insn_desc_t* p = &instructions[0];
1045 while ((insn.bits() & p->mask) != p->match)
1046 p++;
1047 desc = *p;
1048
1049 if (p->mask != 0 && p > &instructions[0]) {
1050 if (p->match != (p-1)->match && p->match != (p+1)->match) {
1051 // move to front of opcode list to reduce miss penalty
1052 while (--p >= &instructions[0])
1053 *(p+1) = *p;
1054 instructions[0] = desc;
1055 }
1056 }
1057
1058 opcode_cache[idx] = desc;
1059 opcode_cache[idx].match = insn.bits();
1060 }
1061
1062 return xlen == 64 ? desc.rv64 : desc.rv32;
1063 }
1064
1065 void processor_t::register_insn(insn_desc_t desc)
1066 {
1067 instructions.push_back(desc);
1068 }
1069
1070 void processor_t::build_opcode_map()
1071 {
1072 struct cmp {
1073 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
1074 if (lhs.match == rhs.match)
1075 return lhs.mask > rhs.mask;
1076 return lhs.match > rhs.match;
1077 }
1078 };
1079 std::sort(instructions.begin(), instructions.end(), cmp());
1080
1081 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
1082 opcode_cache[i] = {0, 0, &illegal_instruction, &illegal_instruction};
1083 }
1084
1085 void processor_t::register_extension(extension_t* x)
1086 {
1087 for (auto insn : x->get_instructions())
1088 register_insn(insn);
1089 build_opcode_map();
1090 for (auto disasm_insn : x->get_disasms())
1091 disassembler->add_insn(disasm_insn);
1092 if (ext != NULL)
1093 throw std::logic_error("only one extension may be registered");
1094 ext = x;
1095 x->set_processor(this);
1096 }
1097
1098 void processor_t::register_base_instructions()
1099 {
1100 #define DECLARE_INSN(name, match, mask) \
1101 insn_bits_t name##_match = (match), name##_mask = (mask);
1102 #include "encoding.h"
1103 #undef DECLARE_INSN
1104
1105 #define DEFINE_INSN(name) \
1106 REGISTER_INSN(this, name, name##_match, name##_mask)
1107 #include "insn_list.h"
1108 #undef DEFINE_INSN
1109
1110 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
1111 build_opcode_map();
1112 }
1113
1114 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
1115 {
1116 switch (addr)
1117 {
1118 case 0:
1119 if (len <= 4) {
1120 memset(bytes, 0, len);
1121 bytes[0] = get_field(state.mip, MIP_MSIP);
1122 return true;
1123 }
1124 break;
1125 }
1126
1127 return false;
1128 }
1129
1130 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
1131 {
1132 switch (addr)
1133 {
1134 case 0:
1135 if (len <= 4) {
1136 state.mip = set_field(state.mip, MIP_MSIP, bytes[0]);
1137 return true;
1138 }
1139 break;
1140 }
1141
1142 return false;
1143 }
1144
1145 void processor_t::trigger_updated()
1146 {
1147 mmu->flush_tlb();
1148 mmu->check_triggers_fetch = false;
1149 mmu->check_triggers_load = false;
1150 mmu->check_triggers_store = false;
1151
1152 for (unsigned i = 0; i < state.num_triggers; i++) {
1153 if (state.mcontrol[i].execute) {
1154 mmu->check_triggers_fetch = true;
1155 }
1156 if (state.mcontrol[i].load) {
1157 mmu->check_triggers_load = true;
1158 }
1159 if (state.mcontrol[i].store) {
1160 mmu->check_triggers_store = true;
1161 }
1162 }
1163 }
1164