revert addition of svsetvl as an actual opcode, add mvl CSR instead
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "simif.h"
8 #include "mmu.h"
9 #include "disasm.h"
10 #include <cinttypes>
11 #include <cmath>
12 #include <cstdlib>
13 #include <iostream>
14 #include <assert.h>
15 #include <limits.h>
16 #include <stdexcept>
17 #include <algorithm>
18
19 #undef STATE
20 #define STATE state
21
22 processor_t::processor_t(const char* isa, simif_t* sim, uint32_t id,
23 bool halt_on_reset)
24 : debug(false), halt_request(false), sim(sim), ext(NULL), id(id),
25 halt_on_reset(halt_on_reset), last_pc(1), executions(1)
26 {
27 parse_isa_string(isa);
28 register_base_instructions();
29
30 mmu = new mmu_t(sim, this);
31
32 disassembler = new disassembler_t(max_xlen);
33 if (ext)
34 for (auto disasm_insn : ext->get_disasms())
35 disassembler->add_insn(disasm_insn);
36
37 reset();
38 }
39
40 processor_t::~processor_t()
41 {
42 #ifdef RISCV_ENABLE_HISTOGRAM
43 if (histogram_enabled)
44 {
45 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
46 for (auto it : pc_histogram)
47 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
48 }
49 #endif
50
51 delete mmu;
52 delete disassembler;
53 }
54
55 static void bad_isa_string(const char* isa)
56 {
57 fprintf(stderr, "error: bad --isa option %s\n", isa);
58 abort();
59 }
60
61 void processor_t::parse_isa_string(const char* str)
62 {
63 std::string lowercase, tmp;
64 for (const char *r = str; *r; r++)
65 lowercase += std::tolower(*r);
66
67 const char* p = lowercase.c_str();
68 const char* all_subsets = "imafdqc";
69
70 max_xlen = 64;
71 state.misa = reg_t(2) << 62;
72
73 if (strncmp(p, "rv32", 4) == 0)
74 max_xlen = 32, state.misa = reg_t(1) << 30, p += 4;
75 else if (strncmp(p, "rv64", 4) == 0)
76 p += 4;
77 else if (strncmp(p, "rv", 2) == 0)
78 p += 2;
79
80 if (!*p) {
81 p = "imafdc";
82 } else if (*p == 'g') { // treat "G" as "IMAFD"
83 tmp = std::string("imafd") + (p+1);
84 p = &tmp[0];
85 } else if (*p != 'i') {
86 bad_isa_string(str);
87 }
88
89 isa_string = "rv" + std::to_string(max_xlen) + p;
90 state.misa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
91 state.misa |= 1L << ('u' - 'a'); // advertise support for user mode
92
93 while (*p) {
94 state.misa |= 1L << (*p - 'a');
95
96 if (auto next = strchr(all_subsets, *p)) {
97 all_subsets = next + 1;
98 p++;
99 } else if (*p == 'x') {
100 const char* ext = p+1, *end = ext;
101 while (islower(*end))
102 end++;
103 register_extension(find_extension(std::string(ext, end - ext).c_str())());
104 p = end;
105 } else {
106 bad_isa_string(str);
107 }
108 }
109
110 if (supports_extension('D') && !supports_extension('F'))
111 bad_isa_string(str);
112
113 if (supports_extension('Q') && !supports_extension('D'))
114 bad_isa_string(str);
115
116 if (supports_extension('Q') && max_xlen < 64)
117 bad_isa_string(str);
118
119 max_isa = state.misa;
120 }
121
122 void state_t::reset(reg_t max_isa)
123 {
124 memset(this, 0, sizeof(*this));
125 misa = max_isa;
126 prv = PRV_M;
127 pc = DEFAULT_RSTVEC;
128 tselect = 0;
129 for (unsigned int i = 0; i < num_triggers; i++)
130 mcontrol[i].type = 2;
131 }
132
133 void processor_t::set_debug(bool value)
134 {
135 debug = value;
136 if (ext)
137 ext->set_debug(value);
138 }
139
140 void processor_t::set_histogram(bool value)
141 {
142 histogram_enabled = value;
143 #ifndef RISCV_ENABLE_HISTOGRAM
144 if (value) {
145 fprintf(stderr, "PC Histogram support has not been properly enabled;");
146 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
147 }
148 #endif
149 }
150
151 void processor_t::reset()
152 {
153 state.reset(max_isa);
154 state.dcsr.halt = halt_on_reset;
155 halt_on_reset = false;
156 set_csr(CSR_MSTATUS, state.mstatus);
157
158 if (ext)
159 ext->reset(); // reset the extension
160
161 if (sim)
162 sim->proc_reset(id);
163 }
164
165 // Count number of contiguous 0 bits starting from the LSB.
166 static int ctz(reg_t val)
167 {
168 int res = 0;
169 if (val)
170 while ((val & 1) == 0)
171 val >>= 1, res++;
172 return res;
173 }
174
175 void processor_t::take_interrupt(reg_t pending_interrupts)
176 {
177 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
178 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
179 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
180
181 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
182 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
183 // M-ints have highest priority; consider S-ints only if no M-ints pending
184 if (enabled_interrupts == 0)
185 enabled_interrupts = pending_interrupts & state.mideleg & -s_enabled;
186
187 if (state.dcsr.cause == 0 && enabled_interrupts) {
188 // nonstandard interrupts have highest priority
189 if (enabled_interrupts >> IRQ_M_EXT)
190 enabled_interrupts = enabled_interrupts >> IRQ_M_EXT << IRQ_M_EXT;
191 // external interrupts have next-highest priority
192 else if (enabled_interrupts & (MIP_MEIP | MIP_SEIP))
193 enabled_interrupts = enabled_interrupts & (MIP_MEIP | MIP_SEIP);
194 // software interrupts have next-highest priority
195 else if (enabled_interrupts & (MIP_MSIP | MIP_SSIP))
196 enabled_interrupts = enabled_interrupts & (MIP_MSIP | MIP_SSIP);
197 // timer interrupts have next-highest priority
198 else if (enabled_interrupts & (MIP_MTIP | MIP_STIP))
199 enabled_interrupts = enabled_interrupts & (MIP_MTIP | MIP_STIP);
200 else
201 abort();
202
203 throw trap_t(((reg_t)1 << (max_xlen-1)) | ctz(enabled_interrupts));
204 }
205 }
206
207 static int xlen_to_uxl(int xlen)
208 {
209 if (xlen == 32)
210 return 1;
211 if (xlen == 64)
212 return 2;
213 abort();
214 }
215
216 reg_t processor_t::legalize_privilege(reg_t prv)
217 {
218 assert(prv <= PRV_M);
219
220 if (!supports_extension('U'))
221 return PRV_M;
222
223 if (prv == PRV_H || !supports_extension('S'))
224 return PRV_U;
225
226 return prv;
227 }
228
229 void processor_t::set_privilege(reg_t prv)
230 {
231 mmu->flush_tlb();
232 state.prv = legalize_privilege(prv);
233 }
234
235 void processor_t::enter_debug_mode(uint8_t cause)
236 {
237 state.dcsr.cause = cause;
238 state.dcsr.prv = state.prv;
239 set_privilege(PRV_M);
240 state.dpc = state.pc;
241 state.pc = DEBUG_ROM_ENTRY;
242 }
243
244 void processor_t::take_trap(trap_t& t, reg_t epc)
245 {
246 if (debug) {
247 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
248 id, t.name(), epc);
249 if (t.has_tval())
250 fprintf(stderr, "core %3d: tval 0x%016" PRIx64 "\n", id,
251 t.get_tval());
252 }
253
254 if (state.dcsr.cause) {
255 if (t.cause() == CAUSE_BREAKPOINT) {
256 state.pc = DEBUG_ROM_ENTRY;
257 } else {
258 state.pc = DEBUG_ROM_TVEC;
259 }
260 return;
261 }
262
263 if (t.cause() == CAUSE_BREAKPOINT && (
264 (state.prv == PRV_M && state.dcsr.ebreakm) ||
265 (state.prv == PRV_S && state.dcsr.ebreaks) ||
266 (state.prv == PRV_U && state.dcsr.ebreaku))) {
267 enter_debug_mode(DCSR_CAUSE_SWBP);
268 return;
269 }
270
271 // by default, trap to M-mode, unless delegated to S-mode
272 reg_t bit = t.cause();
273 reg_t deleg = state.medeleg;
274 bool interrupt = (bit & ((reg_t)1 << (max_xlen-1))) != 0;
275 if (interrupt)
276 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
277 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
278 // handle the trap in S-mode
279 state.pc = state.stvec;
280 state.scause = t.cause();
281 state.sepc = epc;
282 state.stval = t.get_tval();
283
284 reg_t s = state.mstatus;
285 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_SIE));
286 s = set_field(s, MSTATUS_SPP, state.prv);
287 s = set_field(s, MSTATUS_SIE, 0);
288 set_csr(CSR_MSTATUS, s);
289 set_privilege(PRV_S);
290 } else {
291 reg_t vector = (state.mtvec & 1) && interrupt ? 4*bit : 0;
292 state.pc = (state.mtvec & ~(reg_t)1) + vector;
293 state.mepc = epc;
294 state.mcause = t.cause();
295 state.mtval = t.get_tval();
296
297 reg_t s = state.mstatus;
298 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_MIE));
299 s = set_field(s, MSTATUS_MPP, state.prv);
300 s = set_field(s, MSTATUS_MIE, 0);
301 set_csr(CSR_MSTATUS, s);
302 set_privilege(PRV_M);
303 }
304 }
305
306 void processor_t::disasm(insn_t insn)
307 {
308 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
309 if (last_pc != state.pc || last_bits != bits) {
310 if (executions != 1) {
311 fprintf(stderr, "core %3d: Executed %" PRIx64 " times\n", id, executions);
312 }
313
314 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
315 id, state.pc, bits, disassembler->disassemble(insn).c_str());
316 last_pc = state.pc;
317 last_bits = bits;
318 executions = 1;
319 } else {
320 executions++;
321 }
322 }
323
324 int processor_t::paddr_bits()
325 {
326 assert(xlen == max_xlen);
327 return max_xlen == 64 ? 50 : 34;
328 }
329
330 void processor_t::set_csr(int which, reg_t val)
331 {
332 val = zext_xlen(val);
333 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP
334 | ((ext != NULL) << IRQ_COP);
335 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
336 switch (which)
337 {
338 #ifdef SPIKE_SIMPLEV
339 case CSR_SVSETMVL:
340 state.mvl = std::min(val, (uint64_t)63); // limited to XLEN width
341 break;
342 case CSR_SVSETVL:
343 state.vl = std::min(state.mvl, state.XPR[val]);
344 state.XPR.write(val, state.vl);
345 break;
346 #endif
347 case CSR_FFLAGS:
348 dirty_fp_state;
349 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
350 break;
351 case CSR_FRM:
352 dirty_fp_state;
353 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
354 break;
355 case CSR_FCSR:
356 dirty_fp_state;
357 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
358 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
359 break;
360 case CSR_MSTATUS: {
361 if ((val ^ state.mstatus) &
362 (MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_SUM | MSTATUS_MXR))
363 mmu->flush_tlb();
364
365 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
366 | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM
367 | MSTATUS_MXR | MSTATUS_TW | MSTATUS_TVM
368 | MSTATUS_TSR | MSTATUS_UXL | MSTATUS_SXL |
369 (ext ? MSTATUS_XS : 0);
370
371 reg_t requested_mpp = legalize_privilege(get_field(val, MSTATUS_MPP));
372 state.mstatus = set_field(state.mstatus, MSTATUS_MPP, requested_mpp);
373 if (supports_extension('S'))
374 mask |= MSTATUS_SPP;
375
376 state.mstatus = (state.mstatus & ~mask) | (val & mask);
377
378 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
379 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
380 if (max_xlen == 32)
381 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
382 else
383 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
384
385 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
386 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
387 state.mstatus = set_field(state.mstatus, MSTATUS_SXL, xlen_to_uxl(max_xlen));
388 // U-XLEN == S-XLEN == M-XLEN
389 xlen = max_xlen;
390 break;
391 }
392 case CSR_MIP: {
393 reg_t mask = MIP_SSIP | MIP_STIP;
394 state.mip = (state.mip & ~mask) | (val & mask);
395 break;
396 }
397 case CSR_MIE:
398 state.mie = (state.mie & ~all_ints) | (val & all_ints);
399 break;
400 case CSR_MIDELEG:
401 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
402 break;
403 case CSR_MEDELEG: {
404 reg_t mask =
405 (1 << CAUSE_MISALIGNED_FETCH) |
406 (1 << CAUSE_BREAKPOINT) |
407 (1 << CAUSE_USER_ECALL) |
408 (1 << CAUSE_FETCH_PAGE_FAULT) |
409 (1 << CAUSE_LOAD_PAGE_FAULT) |
410 (1 << CAUSE_STORE_PAGE_FAULT);
411 state.medeleg = (state.medeleg & ~mask) | (val & mask);
412 break;
413 }
414 case CSR_MINSTRET:
415 case CSR_MCYCLE:
416 if (xlen == 32)
417 state.minstret = (state.minstret >> 32 << 32) | (val & 0xffffffffU);
418 else
419 state.minstret = val;
420 // The ISA mandates that if an instruction writes instret, the write
421 // takes precedence over the increment to instret. However, Spike
422 // unconditionally increments instret after executing an instruction.
423 // Correct for this artifact by decrementing instret here.
424 state.minstret--;
425 break;
426 case CSR_MINSTRETH:
427 case CSR_MCYCLEH:
428 state.minstret = (val << 32) | (state.minstret << 32 >> 32);
429 state.minstret--; // See comment above.
430 break;
431 case CSR_SCOUNTEREN:
432 state.scounteren = val;
433 break;
434 case CSR_MCOUNTEREN:
435 state.mcounteren = val;
436 break;
437 case CSR_SSTATUS: {
438 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
439 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR;
440 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
441 }
442 case CSR_SIP: {
443 reg_t mask = MIP_SSIP & state.mideleg;
444 return set_csr(CSR_MIP, (state.mip & ~mask) | (val & mask));
445 }
446 case CSR_SIE:
447 return set_csr(CSR_MIE,
448 (state.mie & ~state.mideleg) | (val & state.mideleg));
449 case CSR_SATP: {
450 mmu->flush_tlb();
451 if (max_xlen == 32)
452 state.satp = val & (SATP32_PPN | SATP32_MODE);
453 if (max_xlen == 64 && (get_field(val, SATP64_MODE) == SATP_MODE_OFF ||
454 get_field(val, SATP64_MODE) == SATP_MODE_SV39 ||
455 get_field(val, SATP64_MODE) == SATP_MODE_SV48))
456 state.satp = val & (SATP64_PPN | SATP64_MODE);
457 break;
458 }
459 case CSR_SEPC: state.sepc = val & ~(reg_t)1; break;
460 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
461 case CSR_SSCRATCH: state.sscratch = val; break;
462 case CSR_SCAUSE: state.scause = val; break;
463 case CSR_STVAL: state.stval = val; break;
464 case CSR_MEPC: state.mepc = val & ~(reg_t)1; break;
465 case CSR_MTVEC: state.mtvec = val & ~(reg_t)2; break;
466 case CSR_MSCRATCH: state.mscratch = val; break;
467 case CSR_MCAUSE: state.mcause = val; break;
468 case CSR_MTVAL: state.mtval = val; break;
469 case CSR_MISA: {
470 // the write is ignored if increasing IALIGN would misalign the PC
471 if (!(val & (1L << ('C' - 'A'))) && (state.pc & 2))
472 break;
473
474 if (!(val & (1L << ('F' - 'A'))))
475 val &= ~(1L << ('D' - 'A'));
476
477 // allow MAFDC bits in MISA to be modified
478 reg_t mask = 0;
479 mask |= 1L << ('M' - 'A');
480 mask |= 1L << ('A' - 'A');
481 mask |= 1L << ('F' - 'A');
482 mask |= 1L << ('D' - 'A');
483 mask |= 1L << ('C' - 'A');
484 mask &= max_isa;
485
486 state.misa = (val & mask) | (state.misa & ~mask);
487 break;
488 }
489 case CSR_TSELECT:
490 if (val < state.num_triggers) {
491 state.tselect = val;
492 }
493 break;
494 case CSR_TDATA1:
495 {
496 mcontrol_t *mc = &state.mcontrol[state.tselect];
497 if (mc->dmode && !state.dcsr.cause) {
498 break;
499 }
500 mc->dmode = get_field(val, MCONTROL_DMODE(xlen));
501 mc->select = get_field(val, MCONTROL_SELECT);
502 mc->timing = get_field(val, MCONTROL_TIMING);
503 mc->action = (mcontrol_action_t) get_field(val, MCONTROL_ACTION);
504 mc->chain = get_field(val, MCONTROL_CHAIN);
505 mc->match = (mcontrol_match_t) get_field(val, MCONTROL_MATCH);
506 mc->m = get_field(val, MCONTROL_M);
507 mc->h = get_field(val, MCONTROL_H);
508 mc->s = get_field(val, MCONTROL_S);
509 mc->u = get_field(val, MCONTROL_U);
510 mc->execute = get_field(val, MCONTROL_EXECUTE);
511 mc->store = get_field(val, MCONTROL_STORE);
512 mc->load = get_field(val, MCONTROL_LOAD);
513 // Assume we're here because of csrw.
514 if (mc->execute)
515 mc->timing = 0;
516 trigger_updated();
517 }
518 break;
519 case CSR_TDATA2:
520 if (state.mcontrol[state.tselect].dmode && !state.dcsr.cause) {
521 break;
522 }
523 if (state.tselect < state.num_triggers) {
524 state.tdata2[state.tselect] = val;
525 }
526 break;
527 case CSR_DCSR:
528 state.dcsr.prv = get_field(val, DCSR_PRV);
529 state.dcsr.step = get_field(val, DCSR_STEP);
530 // TODO: ndreset and fullreset
531 state.dcsr.ebreakm = get_field(val, DCSR_EBREAKM);
532 state.dcsr.ebreakh = get_field(val, DCSR_EBREAKH);
533 state.dcsr.ebreaks = get_field(val, DCSR_EBREAKS);
534 state.dcsr.ebreaku = get_field(val, DCSR_EBREAKU);
535 state.dcsr.halt = get_field(val, DCSR_HALT);
536 break;
537 case CSR_DPC:
538 state.dpc = val & ~(reg_t)1;
539 break;
540 case CSR_DSCRATCH:
541 state.dscratch = val;
542 break;
543 }
544 }
545
546 reg_t processor_t::get_csr(int which)
547 {
548 uint32_t ctr_en = -1;
549 if (state.prv < PRV_M)
550 ctr_en &= state.mcounteren;
551 if (state.prv < PRV_S)
552 ctr_en &= state.scounteren;
553 bool ctr_ok = (ctr_en >> (which & 31)) & 1;
554
555 if (ctr_ok) {
556 if (which >= CSR_HPMCOUNTER3 && which <= CSR_HPMCOUNTER31)
557 return 0;
558 if (xlen == 32 && which >= CSR_HPMCOUNTER3H && which <= CSR_HPMCOUNTER31H)
559 return 0;
560 }
561 if (which >= CSR_MHPMCOUNTER3 && which <= CSR_MHPMCOUNTER31)
562 return 0;
563 if (xlen == 32 && which >= CSR_MHPMCOUNTER3H && which <= CSR_MHPMCOUNTER31H)
564 return 0;
565 if (which >= CSR_MHPMEVENT3 && which <= CSR_MHPMEVENT31)
566 return 0;
567
568 switch (which)
569 {
570 #ifdef SPIKE_SIMPLEV
571 case CSR_SVGETVL:
572 return state.vl;
573 case CSR_SVGETMVL:
574 return state.mvl;
575 #endif
576 case CSR_FFLAGS:
577 require_fp;
578 if (!supports_extension('F'))
579 break;
580 return state.fflags;
581 case CSR_FRM:
582 require_fp;
583 if (!supports_extension('F'))
584 break;
585 return state.frm;
586 case CSR_FCSR:
587 require_fp;
588 if (!supports_extension('F'))
589 break;
590 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
591 case CSR_INSTRET:
592 case CSR_CYCLE:
593 if (ctr_ok)
594 return state.minstret;
595 break;
596 case CSR_MINSTRET:
597 case CSR_MCYCLE:
598 return state.minstret;
599 case CSR_INSTRETH:
600 case CSR_CYCLEH:
601 if (ctr_ok && xlen == 32)
602 return state.minstret >> 32;
603 break;
604 case CSR_MINSTRETH:
605 case CSR_MCYCLEH:
606 if (xlen == 32)
607 return state.minstret >> 32;
608 break;
609 case CSR_SCOUNTEREN: return state.scounteren;
610 case CSR_MCOUNTEREN: return state.mcounteren;
611 case CSR_SSTATUS: {
612 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
613 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR | SSTATUS_UXL;
614 reg_t sstatus = state.mstatus & mask;
615 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
616 (sstatus & SSTATUS_XS) == SSTATUS_XS)
617 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
618 return sstatus;
619 }
620 case CSR_SIP: return state.mip & state.mideleg;
621 case CSR_SIE: return state.mie & state.mideleg;
622 case CSR_SEPC: return state.sepc & pc_alignment_mask();
623 case CSR_STVAL: return state.stval;
624 case CSR_STVEC: return state.stvec;
625 case CSR_SCAUSE:
626 if (max_xlen > xlen)
627 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
628 return state.scause;
629 case CSR_SATP:
630 if (get_field(state.mstatus, MSTATUS_TVM))
631 require_privilege(PRV_M);
632 return state.satp;
633 case CSR_SSCRATCH: return state.sscratch;
634 case CSR_MSTATUS: return state.mstatus;
635 case CSR_MIP: return state.mip;
636 case CSR_MIE: return state.mie;
637 case CSR_MEPC: return state.mepc & pc_alignment_mask();
638 case CSR_MSCRATCH: return state.mscratch;
639 case CSR_MCAUSE: return state.mcause;
640 case CSR_MTVAL: return state.mtval;
641 case CSR_MISA: return state.misa;
642 case CSR_MARCHID: return 0;
643 case CSR_MIMPID: return 0;
644 case CSR_MVENDORID: return 0;
645 case CSR_MHARTID: return id;
646 case CSR_MTVEC: return state.mtvec;
647 case CSR_MEDELEG: return state.medeleg;
648 case CSR_MIDELEG: return state.mideleg;
649 case CSR_TSELECT: return state.tselect;
650 case CSR_TDATA1:
651 if (state.tselect < state.num_triggers) {
652 reg_t v = 0;
653 mcontrol_t *mc = &state.mcontrol[state.tselect];
654 v = set_field(v, MCONTROL_TYPE(xlen), mc->type);
655 v = set_field(v, MCONTROL_DMODE(xlen), mc->dmode);
656 v = set_field(v, MCONTROL_MASKMAX(xlen), mc->maskmax);
657 v = set_field(v, MCONTROL_SELECT, mc->select);
658 v = set_field(v, MCONTROL_TIMING, mc->timing);
659 v = set_field(v, MCONTROL_ACTION, mc->action);
660 v = set_field(v, MCONTROL_CHAIN, mc->chain);
661 v = set_field(v, MCONTROL_MATCH, mc->match);
662 v = set_field(v, MCONTROL_M, mc->m);
663 v = set_field(v, MCONTROL_H, mc->h);
664 v = set_field(v, MCONTROL_S, mc->s);
665 v = set_field(v, MCONTROL_U, mc->u);
666 v = set_field(v, MCONTROL_EXECUTE, mc->execute);
667 v = set_field(v, MCONTROL_STORE, mc->store);
668 v = set_field(v, MCONTROL_LOAD, mc->load);
669 return v;
670 } else {
671 return 0;
672 }
673 break;
674 case CSR_TDATA2:
675 if (state.tselect < state.num_triggers) {
676 return state.tdata2[state.tselect];
677 } else {
678 return 0;
679 }
680 break;
681 case CSR_TDATA3: return 0;
682 case CSR_DCSR:
683 {
684 uint32_t v = 0;
685 v = set_field(v, DCSR_XDEBUGVER, 1);
686 v = set_field(v, DCSR_EBREAKM, state.dcsr.ebreakm);
687 v = set_field(v, DCSR_EBREAKH, state.dcsr.ebreakh);
688 v = set_field(v, DCSR_EBREAKS, state.dcsr.ebreaks);
689 v = set_field(v, DCSR_EBREAKU, state.dcsr.ebreaku);
690 v = set_field(v, DCSR_STOPCYCLE, 0);
691 v = set_field(v, DCSR_STOPTIME, 0);
692 v = set_field(v, DCSR_CAUSE, state.dcsr.cause);
693 v = set_field(v, DCSR_STEP, state.dcsr.step);
694 v = set_field(v, DCSR_PRV, state.dcsr.prv);
695 return v;
696 }
697 case CSR_DPC:
698 return state.dpc & pc_alignment_mask();
699 case CSR_DSCRATCH:
700 return state.dscratch;
701 }
702 throw trap_illegal_instruction(0);
703 }
704
705 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
706 {
707 throw trap_illegal_instruction(0);
708 }
709
710 insn_func_t processor_t::decode_insn(insn_t insn)
711 {
712 // look up opcode in hash table
713 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
714 insn_desc_t desc = opcode_cache[idx];
715
716 if (unlikely(insn.bits() != desc.match)) {
717 // fall back to linear search
718 insn_desc_t* p = &instructions[0];
719 while ((insn.bits() & p->mask) != p->match)
720 p++;
721 desc = *p;
722
723 if (p->mask != 0 && p > &instructions[0]) {
724 if (p->match != (p-1)->match && p->match != (p+1)->match) {
725 // move to front of opcode list to reduce miss penalty
726 while (--p >= &instructions[0])
727 *(p+1) = *p;
728 instructions[0] = desc;
729 }
730 }
731
732 opcode_cache[idx] = desc;
733 opcode_cache[idx].match = insn.bits();
734 }
735
736 return xlen == 64 ? desc.rv64 : desc.rv32;
737 }
738
739 void processor_t::register_insn(insn_desc_t desc)
740 {
741 instructions.push_back(desc);
742 }
743
744 void processor_t::build_opcode_map()
745 {
746 struct cmp {
747 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
748 if (lhs.match == rhs.match)
749 return lhs.mask > rhs.mask;
750 return lhs.match > rhs.match;
751 }
752 };
753 std::sort(instructions.begin(), instructions.end(), cmp());
754
755 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
756 opcode_cache[i] = {0, 0, &illegal_instruction, &illegal_instruction};
757 }
758
759 void processor_t::register_extension(extension_t* x)
760 {
761 for (auto insn : x->get_instructions())
762 register_insn(insn);
763 build_opcode_map();
764 for (auto disasm_insn : x->get_disasms())
765 disassembler->add_insn(disasm_insn);
766 if (ext != NULL)
767 throw std::logic_error("only one extension may be registered");
768 ext = x;
769 x->set_processor(this);
770 }
771
772 void processor_t::register_base_instructions()
773 {
774 #define DECLARE_INSN(name, match, mask) \
775 insn_bits_t name##_match = (match), name##_mask = (mask);
776 #include "encoding.h"
777 #undef DECLARE_INSN
778
779 #define DEFINE_INSN(name) \
780 REGISTER_INSN(this, name, name##_match, name##_mask)
781 #include "insn_list.h"
782 #undef DEFINE_INSN
783
784 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
785 build_opcode_map();
786 }
787
788 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
789 {
790 switch (addr)
791 {
792 case 0:
793 if (len <= 4) {
794 memset(bytes, 0, len);
795 bytes[0] = get_field(state.mip, MIP_MSIP);
796 return true;
797 }
798 break;
799 }
800
801 return false;
802 }
803
804 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
805 {
806 switch (addr)
807 {
808 case 0:
809 if (len <= 4) {
810 state.mip = set_field(state.mip, MIP_MSIP, bytes[0]);
811 return true;
812 }
813 break;
814 }
815
816 return false;
817 }
818
819 void processor_t::trigger_updated()
820 {
821 mmu->flush_tlb();
822 mmu->check_triggers_fetch = false;
823 mmu->check_triggers_load = false;
824 mmu->check_triggers_store = false;
825
826 for (unsigned i = 0; i < state.num_triggers; i++) {
827 if (state.mcontrol[i].execute) {
828 mmu->check_triggers_fetch = true;
829 }
830 if (state.mcontrol[i].load) {
831 mmu->check_triggers_load = true;
832 }
833 if (state.mcontrol[i].store) {
834 mmu->check_triggers_store = true;
835 }
836 }
837 }