add SUBVL CSR set
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "simif.h"
8 #include "mmu.h"
9 #include "disasm.h"
10 #include <cinttypes>
11 #include <cmath>
12 #include <cstdlib>
13 #include <iostream>
14 #include <assert.h>
15 #include <limits.h>
16 #include <stdexcept>
17 #include <algorithm>
18 #ifdef SPIKE_SIMPLEV
19 #include "sv_insn_redirect.h"
20 #endif
21
22 #undef STATE
23 #define STATE state
24
25 processor_t::processor_t(const char* isa, simif_t* sim, uint32_t id,
26 bool halt_on_reset)
27 : debug(false), halt_request(false), sim(sim), ext(NULL), id(id),
28 halt_on_reset(halt_on_reset), last_pc(1), executions(1)
29 #ifdef SPIKE_SIMPLEV
30 , s(this)
31 #endif
32 {
33 parse_isa_string(isa);
34 register_base_instructions();
35
36 #ifdef SPIKE_SIMPLEV
37 mmu = new sv_mmu_t(sim, this);
38 #else
39 mmu = new mmu_t(sim, this);
40 #endif
41
42 disassembler = new disassembler_t(max_xlen);
43 if (ext)
44 for (auto disasm_insn : ext->get_disasms())
45 disassembler->add_insn(disasm_insn);
46
47 reset();
48 }
49
50 processor_t::~processor_t()
51 {
52 #ifdef RISCV_ENABLE_HISTOGRAM
53 if (histogram_enabled)
54 {
55 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
56 for (auto it : pc_histogram)
57 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
58 }
59 #endif
60
61 delete mmu;
62 delete disassembler;
63 }
64
65 static void bad_isa_string(const char* isa)
66 {
67 fprintf(stderr, "error: bad --isa option %s\n", isa);
68 abort();
69 }
70
71 void processor_t::parse_isa_string(const char* str)
72 {
73 std::string lowercase, tmp;
74 for (const char *r = str; *r; r++)
75 lowercase += std::tolower(*r);
76
77 const char* p = lowercase.c_str();
78 const char* all_subsets = "imafdqc";
79
80 max_xlen = 64;
81 state.misa = reg_t(2) << 62;
82
83 if (strncmp(p, "rv32", 4) == 0)
84 max_xlen = 32, state.misa = reg_t(1) << 30, p += 4;
85 else if (strncmp(p, "rv64", 4) == 0)
86 p += 4;
87 else if (strncmp(p, "rv", 2) == 0)
88 p += 2;
89
90 if (!*p) {
91 p = "imafdc";
92 } else if (*p == 'g') { // treat "G" as "IMAFD"
93 tmp = std::string("imafd") + (p+1);
94 p = &tmp[0];
95 } else if (*p != 'i') {
96 bad_isa_string(str);
97 }
98
99 isa_string = "rv" + std::to_string(max_xlen) + p;
100 state.misa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
101 state.misa |= 1L << ('u' - 'a'); // advertise support for user mode
102
103 while (*p) {
104 state.misa |= 1L << (*p - 'a');
105
106 if (auto next = strchr(all_subsets, *p)) {
107 all_subsets = next + 1;
108 p++;
109 } else if (*p == 'x') {
110 const char* ext = p+1, *end = ext;
111 while (islower(*end))
112 end++;
113 register_extension(find_extension(std::string(ext, end - ext).c_str())());
114 p = end;
115 } else {
116 bad_isa_string(str);
117 }
118 }
119
120 if (supports_extension('D') && !supports_extension('F'))
121 bad_isa_string(str);
122
123 if (supports_extension('Q') && !supports_extension('D'))
124 bad_isa_string(str);
125
126 if (supports_extension('Q') && max_xlen < 64)
127 bad_isa_string(str);
128
129 max_isa = state.misa;
130 }
131
132 void state_t::reset(reg_t max_isa)
133 {
134 memset(this, 0, sizeof(*this));
135 misa = max_isa;
136 prv = PRV_M;
137 pc = DEFAULT_RSTVEC;
138 tselect = 0;
139 for (unsigned int i = 0; i < num_triggers; i++)
140 mcontrol[i].type = 2;
141 #ifdef SPIKE_SIMPLEV
142 // set SV CSR banks to default (full) sizes
143 msv.state_size = 1;
144 ssv.state_size = 1;
145 usv.state_size = 3;
146 #endif
147 }
148
149 void sv_shape_t::setup_map()
150 {
151 int order[3] = {};
152 int lims[3] = {xsz, ysz, zsz};
153 int idxs[3] = {0,0,0};
154
155 switch (permute) {
156 case SV_SHAPE_PERM_XYZ: order[0] = 0; order[1] = 1; order[2] = 2; break;
157 case SV_SHAPE_PERM_XZY: order[0] = 0; order[1] = 2; order[2] = 1; break;
158 case SV_SHAPE_PERM_YXZ: order[0] = 1; order[1] = 0; order[2] = 2; break;
159 case SV_SHAPE_PERM_YZX: order[0] = 1; order[1] = 2; order[2] = 0; break;
160 case SV_SHAPE_PERM_ZXY: order[0] = 2; order[1] = 0; order[2] = 1; break;
161 case SV_SHAPE_PERM_ZYX: order[0] = 2; order[1] = 1; order[2] = 0; break;
162 default: throw trap_illegal_instruction(0);
163 }
164 for (int i = 0; i < 128; i++)
165 {
166 uint8_t new_idx = idxs[0] + idxs[1] * xsz + idxs[2] * xsz * ysz;
167 map[i] = new_idx;
168 for (int j = 0; j < 3; j++)
169 {
170 idxs[order[j]] = idxs[order[j]] + 1;
171 if (idxs[order[j]] != lims[order[j]]) {
172 break;
173 }
174 idxs[order[j]] = 0;
175 }
176 }
177 }
178
179 int state_t::sv_csr_sz()
180 {
181 if (prv == PRV_M)
182 return SV_MCSR_SZ;
183 if (prv == PRV_S)
184 return SV_SCSR_SZ;
185 return SV_UCSR_SZ;
186 }
187 sv_csr_t &state_t::sv()
188 {
189 if (prv == PRV_M)
190 return get_msv();
191 if (prv == PRV_S)
192 return get_ssv();
193 return get_usv();
194 }
195
196 sv_shape_t* state_t::get_shape(reg_t reg, bool pred)
197 {
198 if (prv == PRV_M || prv == PRV_S || reg == 0) {
199 return NULL;
200 }
201 for (int i = 0; i < 3; i++) {
202 if (remap[i].regidx == reg && remap[i].pred == pred) {
203 return &shape[i];
204 }
205 }
206 return NULL;
207 }
208
209 void processor_t::set_debug(bool value)
210 {
211 debug = value;
212 if (ext)
213 ext->set_debug(value);
214 }
215
216 void processor_t::set_histogram(bool value)
217 {
218 histogram_enabled = value;
219 #ifndef RISCV_ENABLE_HISTOGRAM
220 if (value) {
221 fprintf(stderr, "PC Histogram support has not been properly enabled;");
222 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
223 }
224 #endif
225 }
226
227 void processor_t::reset()
228 {
229 state.reset(max_isa);
230 state.dcsr.halt = halt_on_reset;
231 halt_on_reset = false;
232 set_csr(CSR_MSTATUS, state.mstatus);
233
234 if (ext)
235 ext->reset(); // reset the extension
236
237 if (sim)
238 sim->proc_reset(id);
239 }
240
241 // Count number of contiguous 0 bits starting from the LSB.
242 static int ctz(reg_t val)
243 {
244 int res = 0;
245 if (val)
246 while ((val & 1) == 0)
247 val >>= 1, res++;
248 return res;
249 }
250
251 void processor_t::take_interrupt(reg_t pending_interrupts)
252 {
253 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
254 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
255 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
256
257 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
258 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
259 // M-ints have highest priority; consider S-ints only if no M-ints pending
260 if (enabled_interrupts == 0)
261 enabled_interrupts = pending_interrupts & state.mideleg & -s_enabled;
262
263 if (state.dcsr.cause == 0 && enabled_interrupts) {
264 // nonstandard interrupts have highest priority
265 if (enabled_interrupts >> IRQ_M_EXT)
266 enabled_interrupts = enabled_interrupts >> IRQ_M_EXT << IRQ_M_EXT;
267 // external interrupts have next-highest priority
268 else if (enabled_interrupts & (MIP_MEIP | MIP_SEIP))
269 enabled_interrupts = enabled_interrupts & (MIP_MEIP | MIP_SEIP);
270 // software interrupts have next-highest priority
271 else if (enabled_interrupts & (MIP_MSIP | MIP_SSIP))
272 enabled_interrupts = enabled_interrupts & (MIP_MSIP | MIP_SSIP);
273 // timer interrupts have next-highest priority
274 else if (enabled_interrupts & (MIP_MTIP | MIP_STIP))
275 enabled_interrupts = enabled_interrupts & (MIP_MTIP | MIP_STIP);
276 else
277 abort();
278
279 throw trap_t(((reg_t)1 << (max_xlen-1)) | ctz(enabled_interrupts));
280 }
281 }
282
283 static int xlen_to_uxl(int xlen)
284 {
285 if (xlen == 32)
286 return 1;
287 if (xlen == 64)
288 return 2;
289 abort();
290 }
291
292 reg_t processor_t::legalize_privilege(reg_t prv)
293 {
294 assert(prv <= PRV_M);
295
296 if (!supports_extension('U'))
297 return PRV_M;
298
299 if (prv == PRV_H || !supports_extension('S'))
300 return PRV_U;
301
302 return prv;
303 }
304
305 void processor_t::set_privilege(reg_t prv)
306 {
307 mmu->flush_tlb();
308 state.prv = legalize_privilege(prv);
309 }
310
311 void processor_t::enter_debug_mode(uint8_t cause)
312 {
313 state.dcsr.cause = cause;
314 state.dcsr.prv = state.prv;
315 set_privilege(PRV_M);
316 state.dpc = state.pc;
317 state.pc = DEBUG_ROM_ENTRY;
318 }
319
320 void processor_t::take_trap(trap_t& t, reg_t epc)
321 {
322 if (debug) {
323 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
324 id, t.name(), epc);
325 if (t.has_tval())
326 fprintf(stderr, "core %3d: tval 0x%016" PRIx64 "\n", id,
327 t.get_tval());
328 }
329
330 if (state.dcsr.cause) {
331 if (t.cause() == CAUSE_BREAKPOINT) {
332 state.pc = DEBUG_ROM_ENTRY;
333 } else {
334 state.pc = DEBUG_ROM_TVEC;
335 }
336 return;
337 }
338
339 if (t.cause() == CAUSE_BREAKPOINT && (
340 (state.prv == PRV_M && state.dcsr.ebreakm) ||
341 (state.prv == PRV_S && state.dcsr.ebreaks) ||
342 (state.prv == PRV_U && state.dcsr.ebreaku))) {
343 enter_debug_mode(DCSR_CAUSE_SWBP);
344 return;
345 }
346
347 // by default, trap to M-mode, unless delegated to S-mode
348 reg_t bit = t.cause();
349 reg_t deleg = state.medeleg;
350 bool interrupt = (bit & ((reg_t)1 << (max_xlen-1))) != 0;
351 if (interrupt)
352 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
353 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
354 // handle the trap in S-mode
355 state.pc = state.stvec;
356 state.scause = t.cause();
357 state.sepc = epc;
358 state.stval = t.get_tval();
359
360 reg_t s = state.mstatus;
361 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_SIE));
362 s = set_field(s, MSTATUS_SPP, state.prv);
363 s = set_field(s, MSTATUS_SIE, 0);
364 set_csr(CSR_MSTATUS, s);
365 set_privilege(PRV_S);
366 } else {
367 reg_t vector = (state.mtvec & 1) && interrupt ? 4*bit : 0;
368 state.pc = (state.mtvec & ~(reg_t)1) + vector;
369 state.mepc = epc;
370 state.mcause = t.cause();
371 state.mtval = t.get_tval();
372
373 reg_t s = state.mstatus;
374 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_MIE));
375 s = set_field(s, MSTATUS_MPP, state.prv);
376 s = set_field(s, MSTATUS_MIE, 0);
377 set_csr(CSR_MSTATUS, s);
378 set_privilege(PRV_M);
379 }
380 }
381
382 void processor_t::disasm(insn_t insn)
383 {
384 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
385 if (last_pc != state.pc || last_bits != bits) {
386 if (executions != 1) {
387 fprintf(stderr, "core %3d: Executed %" PRIx64 " times\n", id, executions);
388 }
389
390 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
391 id, state.pc, bits, disassembler->disassemble(insn).c_str());
392 last_pc = state.pc;
393 last_bits = bits;
394 executions = 1;
395 } else {
396 executions++;
397 }
398 }
399
400 int processor_t::paddr_bits()
401 {
402 assert(xlen == max_xlen);
403 return max_xlen == 64 ? 50 : 34;
404 }
405
406 void state_t::get_csr_start_end(int &start, int &end)
407 {
408 start = sv().state_bank * 4;
409 end = start + (1 << (sv().state_size+1));
410 start = std::min(sv_csr_sz(), start);
411 end = std::min(sv_csr_sz(), end);
412 fprintf(stderr, "sv state csr start/end: %d %d\n", start, end);
413 }
414
415 void state_t::sv_csr_reg_unpack()
416 {
417 // okaaay and now "unpack" the CAM to make it easier to use. this
418 // approach is not designed to be efficient right now. optimise later
419 // first clear the old tables
420 memset(sv().sv_int_tb, 0, sizeof(sv().sv_int_tb));
421 memset(sv().sv_fp_tb, 0, sizeof(sv().sv_fp_tb));
422 // now walk the CAM and unpack it
423 int start = 0;
424 int end = 0;
425 get_csr_start_end(start, end);
426 for (int i = start; i < end; i++)
427 {
428 union sv_reg_csr_entry *c = &sv().sv_csrs[i];
429 uint64_t idx = c->b.regkey;
430 sv_reg_entry *r;
431 if (c->u == 0)
432 {
433 break;
434 }
435 // XXX damn. this basically duplicates sv_insn_t::get_regentry.
436 if (c->b.type == 1)
437 {
438 r = &sv().sv_int_tb[idx];
439 }
440 else
441 {
442 r = &sv().sv_fp_tb[idx];
443 }
444 r->elwidth = c->b.elwidth;
445 r->regidx = c->b.regidx;
446 r->isvec = c->b.isvec;
447 r->active = true;
448 fprintf(stderr, "setting REGCFG type:%d isvec:%d %d %d\n",
449 c->b.type, r->isvec, (int)idx, (int)r->regidx);
450 }
451 }
452
453 void state_t::sv_csr_pred_unpack()
454 {
455 memset(sv().sv_pred_int_tb, 0, sizeof(sv().sv_pred_int_tb));
456 memset(sv().sv_pred_fp_tb, 0, sizeof(sv().sv_pred_fp_tb));
457 int start = 0;
458 int end = 0;
459 get_csr_start_end(start, end);
460 for (int i = start; i < end; i++)
461 {
462 union sv_pred_csr_entry *c = &sv().sv_pred_csrs[i];
463 uint64_t idx = c->b.regkey;
464 if (c->u == 0)
465 {
466 break;
467 }
468 sv_pred_entry *r;
469 // XXX damn. this basically duplicates sv_insn_t::get_predentry.
470 if (c->b.type == 1)
471 {
472 r = &sv().sv_pred_int_tb[idx];
473 }
474 else
475 {
476 r = &sv().sv_pred_fp_tb[idx];
477 }
478 r->regidx = c->b.regidx;
479 r->zero = c->b.zero;
480 r->inv = c->b.inv;
481 r->ffirst = c->b.ffirst;
482 r->active = true;
483 fprintf(stderr, "setting PREDCFG %d type:%d zero:%d %d %d\n",
484 i, c->b.type, r->zero, (int)idx, (int)r->regidx);
485 }
486 }
487
488 reg_t processor_t::set_csr(int which, reg_t val, bool imm_mode)
489 {
490 reg_t old_val = get_csr(which);
491 val = _zext_xlen(val);
492 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP
493 | ((ext != NULL) << IRQ_COP);
494 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
495 fprintf(stderr, "set CSR %x %lx\n", which, val);
496 switch (which)
497 {
498 #ifdef SPIKE_SIMPLEV
499 case CSR_USVMVL:
500 state.sv().mvl = std::min(val+1, (uint64_t)64); // limited to XLEN width
501 old_val = state.sv().mvl - 1;
502 // TODO XXX throw exception if val == 0
503 fprintf(stderr, "set MVL %lx\n", state.sv().mvl);
504 break;
505 case CSR_USVSTATE:
506 {
507 // bits 0-5: mvl - 6-11: vl - 12-17: srcoffs - 18-23: destoffs
508 set_csr(CSR_USVMVL, get_field(val, SV_STATE_VL )+1);
509 set_csr(CSR_USVVL , get_field(val, SV_STATE_MVL)+1);
510 set_csr(CSR_USVSUBVL , get_field(val, SV_STATE_SUBVL)+1);
511 // decode (and limit) src/dest VL offsets
512 reg_t srcoffs = get_field(val, SV_STATE_SRCOFFS);
513 reg_t destoffs = get_field(val, SV_STATE_DESTOFFS);
514 state.sv().srcoffs = std::min(srcoffs , state.sv().vl-1);
515 state.sv().destoffs = std::min(destoffs, state.sv().vl-1);
516 // decode (and limit) src/dest SUBVL offsets
517 reg_t subsrcoffs = get_field(val, SV_STATE_SSVOFFS);
518 reg_t subdestoffs = get_field(val, SV_STATE_DSVOFFS);
519 state.sv().ssvoffs = std::min(subsrcoffs , state.sv().subvl-1);
520 state.sv().dsvoffs = std::min(subdestoffs, state.sv().subvl-1);
521 //int state_bank = get_field(val, SV_STATE_BANK);
522 //int state_size = get_field(val, SV_STATE_SIZE);
523 //set_csr(CSR_USVCFG, state_bank | (state_size << 3));
524 break;
525 }
526 case CSR_USVCFG:
527 {
528 int old_bank = state.sv().state_bank;
529 int old_size = state.sv().state_size;
530 state.sv().state_bank = get_field(val, SV_CFG_BANK);
531 state.sv().state_size = get_field(val, SV_CFG_SIZE);
532 if (old_bank != state.sv().state_bank ||
533 old_size != state.sv().state_size)
534 {
535 // if the bank or size is changed, the csrs that are enabled
536 // also changes. easiest thing in software: recalculate them all
537 state.sv_csr_pred_unpack();
538 state.sv_csr_reg_unpack();
539 }
540 break;
541 }
542 case CSR_USVSUBVL:
543 state.sv().subvl = std::max(1, std::min(4, (int)val));
544 old_val = state.sv().subvl;
545 // TODO XXX throw exception if val attempted to be set == 0
546 fprintf(stderr, "set VL %lx\n", state.sv().vl);
547 break;
548 case CSR_USVVL:
549 state.sv().vl = std::min(state.sv().mvl, val + 1);
550 old_val = state.sv().mvl - 1;
551 // TODO XXX throw exception if val == 0
552 fprintf(stderr, "set VL %lx\n", state.sv().vl);
553 break;
554 case CSR_SVREGTOP:
555 case CSR_SVREGBOT:
556 {
557 bool top = (which == CSR_SVREGTOP);
558 uint64_t v = (uint64_t)val;
559 fprintf(stderr, "set SVREG %d %lx\n", top, v);
560 int start = 0;
561 int end = 0;
562 state.get_csr_start_end(start, end);
563 uint64_t res_old = 0;
564 int num_entries = val & 0xf;
565 int max_xlen_entries = (xlen == 64) ? 4 : 2;
566 if (!imm_mode) {
567 num_entries = max_xlen_entries;
568 }
569 // read 2 16-bit entries for RV32, 4 16-bit entries for RV64
570 int popidx = 0;
571 for (int i = 0; i < num_entries; i++) {
572 uint64_t svcfg = 0;
573 if (!imm_mode) {
574 uint64_t mask = 0xffffUL << (i*16UL);
575 svcfg = get_field(v, mask);
576 fprintf(stderr, "SVREG mask %lx cfg %lx\n", mask, svcfg);
577 if (!svcfg && i > 0) {
578 break;
579 }
580 }
581 // see regpush on how this works.
582 uint64_t res = state.sv().regpush(svcfg, end, top);
583 if (res != 0) {
584 res_old |= res << (popidx * 16UL);
585 popidx += 1;
586 if (popidx == max_xlen_entries) {
587 break;
588 }
589 }
590 }
591 old_val = res_old;
592 state.sv_csr_reg_unpack();
593 break;
594 }
595 case CSR_SVPREDCFG0:
596 case CSR_SVPREDCFG1:
597 case CSR_SVPREDCFG2:
598 case CSR_SVPREDCFG3:
599 case CSR_SVPREDCFG4:
600 case CSR_SVPREDCFG5:
601 case CSR_SVPREDCFG6:
602 case CSR_SVPREDCFG7:
603 {
604 // comments removed as it's near-identical to the regs version
605 // TODO: macro-ify
606 uint64_t v = (uint64_t)val;
607 int tbidx = (which - CSR_SVPREDCFG0) * 2;
608 fprintf(stderr, "set PREDCFG %d %lx\n", tbidx, v);
609 state.sv().sv_pred_csrs[tbidx].u = get_field(v, 0xffff);
610 state.sv().sv_pred_csrs[tbidx+1].u = get_field(v, 0xffff0000);
611 int clroffset = 2;
612 if (xlen == 64)
613 {
614 state.sv().sv_pred_csrs[tbidx+2].u = get_field(v, 0xffffUL<<32);
615 state.sv().sv_pred_csrs[tbidx+3].u = get_field(v, 0xffffUL<<48);
616 clroffset = 4;
617 }
618 for (int i = tbidx+clroffset; i < 16; i++)
619 {
620 state.sv().sv_pred_csrs[i].u = 0;
621 }
622 state.sv_csr_pred_unpack();
623 break;
624 }
625 case CSR_UREMAP:
626 {
627 state.remap[0].regidx = get_field(val, SV_REMAP_REGIDX0);
628 state.remap[1].regidx = get_field(val, SV_REMAP_REGIDX1);
629 state.remap[2].regidx = get_field(val, SV_REMAP_REGIDX2);
630 state.remap[0].pred = get_field(val, SV_REMAP_PRED0);
631 state.remap[1].pred = get_field(val, SV_REMAP_PRED1);
632 state.remap[2].pred = get_field(val, SV_REMAP_PRED2);
633 state.remap[0].shape = get_field(val, SV_REMAP_SHAPE0);
634 state.remap[1].shape = get_field(val, SV_REMAP_SHAPE1);
635 state.remap[2].shape = get_field(val, SV_REMAP_SHAPE2);
636 break;
637 }
638 case CSR_USHAPE0:
639 case CSR_USHAPE1:
640 case CSR_USHAPE2:
641 {
642 int shapeidx = which - CSR_USHAPE0;
643 state.shape[shapeidx].xsz = get_field(val, SV_SHAPE_XDIM) + 1;
644 state.shape[shapeidx].ysz = get_field(val, SV_SHAPE_YDIM) + 1;
645 state.shape[shapeidx].zsz = get_field(val, SV_SHAPE_ZDIM) + 1;
646 state.shape[shapeidx].offs = (get_field(val, (1<<7 )) ? 0x1 : 0) |
647 (get_field(val, (1<<15)) ? 0x2 : 0) |
648 (get_field(val, (1<<23)) ? 0x4 : 0);
649 state.shape[shapeidx].permute = get_field(val, SV_SHAPE_PERM);
650 state.shape[shapeidx].setup_map();
651 fprintf(stderr, "sv shape %d x %d y %d z %d offs %d perm %d\n",
652 shapeidx,
653 state.shape[shapeidx].xsz,
654 state.shape[shapeidx].ysz,
655 state.shape[shapeidx].zsz,
656 state.shape[shapeidx].offs,
657 state.shape[shapeidx].permute);
658 break;
659 }
660 #endif
661 case CSR_FFLAGS:
662 dirty_fp_state;
663 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
664 break;
665 case CSR_FRM:
666 dirty_fp_state;
667 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
668 break;
669 case CSR_FCSR:
670 dirty_fp_state;
671 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
672 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
673 break;
674 case CSR_MSTATUS: {
675 if ((val ^ state.mstatus) &
676 (MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_SUM | MSTATUS_MXR))
677 mmu->flush_tlb();
678
679 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
680 | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM
681 | MSTATUS_MXR | MSTATUS_TW | MSTATUS_TVM
682 | MSTATUS_TSR | MSTATUS_UXL | MSTATUS_SXL |
683 (ext ? MSTATUS_XS : 0);
684
685 reg_t requested_mpp = legalize_privilege(get_field(val, MSTATUS_MPP));
686 state.mstatus = set_field(state.mstatus, MSTATUS_MPP, requested_mpp);
687 if (supports_extension('S'))
688 mask |= MSTATUS_SPP;
689
690 state.mstatus = (state.mstatus & ~mask) | (val & mask);
691
692 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
693 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
694 if (max_xlen == 32)
695 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
696 else
697 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
698
699 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
700 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
701 state.mstatus = set_field(state.mstatus, MSTATUS_SXL, xlen_to_uxl(max_xlen));
702 // U-XLEN == S-XLEN == M-XLEN
703 xlen = max_xlen;
704 break;
705 }
706 case CSR_MIP: {
707 reg_t mask = MIP_SSIP | MIP_STIP;
708 state.mip = (state.mip & ~mask) | (val & mask);
709 break;
710 }
711 case CSR_MIE:
712 state.mie = (state.mie & ~all_ints) | (val & all_ints);
713 break;
714 case CSR_MIDELEG:
715 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
716 break;
717 case CSR_MEDELEG: {
718 reg_t mask =
719 (1 << CAUSE_MISALIGNED_FETCH) |
720 (1 << CAUSE_BREAKPOINT) |
721 (1 << CAUSE_USER_ECALL) |
722 (1 << CAUSE_FETCH_PAGE_FAULT) |
723 (1 << CAUSE_LOAD_PAGE_FAULT) |
724 (1 << CAUSE_STORE_PAGE_FAULT);
725 state.medeleg = (state.medeleg & ~mask) | (val & mask);
726 break;
727 }
728 case CSR_MINSTRET:
729 case CSR_MCYCLE:
730 if (xlen == 32)
731 state.minstret = (state.minstret >> 32 << 32) | (val & 0xffffffffU);
732 else
733 state.minstret = val;
734 // The ISA mandates that if an instruction writes instret, the write
735 // takes precedence over the increment to instret. However, Spike
736 // unconditionally increments instret after executing an instruction.
737 // Correct for this artifact by decrementing instret here.
738 state.minstret--;
739 break;
740 case CSR_MINSTRETH:
741 case CSR_MCYCLEH:
742 state.minstret = (val << 32) | (state.minstret << 32 >> 32);
743 state.minstret--; // See comment above.
744 break;
745 case CSR_SCOUNTEREN:
746 state.scounteren = val;
747 break;
748 case CSR_MCOUNTEREN:
749 state.mcounteren = val;
750 break;
751 case CSR_SSTATUS: {
752 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
753 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR;
754 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
755 }
756 case CSR_SIP: {
757 reg_t mask = MIP_SSIP & state.mideleg;
758 return set_csr(CSR_MIP, (state.mip & ~mask) | (val & mask));
759 }
760 case CSR_SIE:
761 return set_csr(CSR_MIE,
762 (state.mie & ~state.mideleg) | (val & state.mideleg));
763 case CSR_SATP: {
764 mmu->flush_tlb();
765 if (max_xlen == 32)
766 state.satp = val & (SATP32_PPN | SATP32_MODE);
767 if (max_xlen == 64 && (get_field(val, SATP64_MODE) == SATP_MODE_OFF ||
768 get_field(val, SATP64_MODE) == SATP_MODE_SV39 ||
769 get_field(val, SATP64_MODE) == SATP_MODE_SV48))
770 state.satp = val & (SATP64_PPN | SATP64_MODE);
771 break;
772 }
773 case CSR_SEPC: state.sepc = val & ~(reg_t)1; break;
774 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
775 case CSR_SSCRATCH: state.sscratch = val; break;
776 case CSR_SCAUSE: state.scause = val; break;
777 case CSR_STVAL: state.stval = val; break;
778 case CSR_MEPC: state.mepc = val & ~(reg_t)1; break;
779 case CSR_MTVEC: state.mtvec = val & ~(reg_t)2; break;
780 case CSR_MSCRATCH: state.mscratch = val; break;
781 case CSR_MCAUSE: state.mcause = val; break;
782 case CSR_MTVAL: state.mtval = val; break;
783 case CSR_MISA: {
784 // the write is ignored if increasing IALIGN would misalign the PC
785 if (!(val & (1L << ('C' - 'A'))) && (state.pc & 2))
786 break;
787
788 if (!(val & (1L << ('F' - 'A'))))
789 val &= ~(1L << ('D' - 'A'));
790
791 // allow MAFDC bits in MISA to be modified
792 reg_t mask = 0;
793 mask |= 1L << ('M' - 'A');
794 mask |= 1L << ('A' - 'A');
795 mask |= 1L << ('F' - 'A');
796 mask |= 1L << ('D' - 'A');
797 mask |= 1L << ('C' - 'A');
798 mask &= max_isa;
799
800 state.misa = (val & mask) | (state.misa & ~mask);
801 break;
802 }
803 case CSR_TSELECT:
804 if (val < state.num_triggers) {
805 state.tselect = val;
806 }
807 break;
808 case CSR_TDATA1:
809 {
810 mcontrol_t *mc = &state.mcontrol[state.tselect];
811 if (mc->dmode && !state.dcsr.cause) {
812 break;
813 }
814 mc->dmode = get_field(val, MCONTROL_DMODE(xlen));
815 mc->select = get_field(val, MCONTROL_SELECT);
816 mc->timing = get_field(val, MCONTROL_TIMING);
817 mc->action = (mcontrol_action_t) get_field(val, MCONTROL_ACTION);
818 mc->chain = get_field(val, MCONTROL_CHAIN);
819 mc->match = (mcontrol_match_t) get_field(val, MCONTROL_MATCH);
820 mc->m = get_field(val, MCONTROL_M);
821 mc->h = get_field(val, MCONTROL_H);
822 mc->s = get_field(val, MCONTROL_S);
823 mc->u = get_field(val, MCONTROL_U);
824 mc->execute = get_field(val, MCONTROL_EXECUTE);
825 mc->store = get_field(val, MCONTROL_STORE);
826 mc->load = get_field(val, MCONTROL_LOAD);
827 // Assume we're here because of csrw.
828 if (mc->execute)
829 mc->timing = 0;
830 trigger_updated();
831 }
832 break;
833 case CSR_TDATA2:
834 if (state.mcontrol[state.tselect].dmode && !state.dcsr.cause) {
835 break;
836 }
837 if (state.tselect < state.num_triggers) {
838 state.tdata2[state.tselect] = val;
839 }
840 break;
841 case CSR_DCSR:
842 state.dcsr.prv = get_field(val, DCSR_PRV);
843 state.dcsr.step = get_field(val, DCSR_STEP);
844 // TODO: ndreset and fullreset
845 state.dcsr.ebreakm = get_field(val, DCSR_EBREAKM);
846 state.dcsr.ebreakh = get_field(val, DCSR_EBREAKH);
847 state.dcsr.ebreaks = get_field(val, DCSR_EBREAKS);
848 state.dcsr.ebreaku = get_field(val, DCSR_EBREAKU);
849 state.dcsr.halt = get_field(val, DCSR_HALT);
850 break;
851 case CSR_DPC:
852 state.dpc = val & ~(reg_t)1;
853 break;
854 case CSR_DSCRATCH:
855 state.dscratch = val;
856 break;
857 }
858 return old_val;
859 }
860
861 reg_t processor_t::get_csr(int which)
862 {
863 uint32_t ctr_en = -1;
864 if (state.prv < PRV_M)
865 ctr_en &= state.mcounteren;
866 if (state.prv < PRV_S)
867 ctr_en &= state.scounteren;
868 bool ctr_ok = (ctr_en >> (which & 31)) & 1;
869
870 if (ctr_ok) {
871 if (which >= CSR_HPMCOUNTER3 && which <= CSR_HPMCOUNTER31)
872 return 0;
873 if (xlen == 32 && which >= CSR_HPMCOUNTER3H && which <= CSR_HPMCOUNTER31H)
874 return 0;
875 }
876 if (which >= CSR_MHPMCOUNTER3 && which <= CSR_MHPMCOUNTER31)
877 return 0;
878 if (xlen == 32 && which >= CSR_MHPMCOUNTER3H && which <= CSR_MHPMCOUNTER31H)
879 return 0;
880 if (which >= CSR_MHPMEVENT3 && which <= CSR_MHPMEVENT31)
881 return 0;
882
883 switch (which)
884 {
885 #ifdef SPIKE_SIMPLEV
886 case CSR_USVVL:
887 return state.sv().vl;
888 case CSR_USVCFG:
889 return (state.sv().state_bank) | (state.sv().state_size<<3);
890 case CSR_USVSTATE:
891 return (state.sv().vl-1) | ((state.sv().mvl-1)<<6) |
892 (state.sv().srcoffs<<12) | (state.sv().destoffs<<18) |
893 (state.sv().state_bank<<24) | (state.sv().state_size<<26);
894 case CSR_USVMVL:
895 return state.sv().mvl;
896 case CSR_SVREGTOP:
897 case CSR_SVREGBOT:
898 return 0;// XXX TODO: return correct entry
899 case CSR_SVPREDCFG0:
900 case CSR_SVPREDCFG1:
901 case CSR_SVPREDCFG2:
902 case CSR_SVPREDCFG3:
903 case CSR_SVPREDCFG4:
904 case CSR_SVPREDCFG5:
905 case CSR_SVPREDCFG6:
906 case CSR_SVPREDCFG7:
907 return 0;// XXX TODO: return correct entry
908 case CSR_UREMAP:
909 return 0;// XXX TODO: return correct entry
910 case CSR_USHAPE0:
911 case CSR_USHAPE1:
912 case CSR_USHAPE2:
913 return 0;// XXX TODO: return correct entry
914 #endif
915 case CSR_FFLAGS:
916 require_fp;
917 if (!supports_extension('F'))
918 break;
919 return state.fflags;
920 case CSR_FRM:
921 require_fp;
922 if (!supports_extension('F'))
923 break;
924 return state.frm;
925 case CSR_FCSR:
926 require_fp;
927 if (!supports_extension('F'))
928 break;
929 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
930 case CSR_INSTRET:
931 case CSR_CYCLE:
932 if (ctr_ok)
933 return state.minstret;
934 break;
935 case CSR_MINSTRET:
936 case CSR_MCYCLE:
937 return state.minstret;
938 case CSR_INSTRETH:
939 case CSR_CYCLEH:
940 if (ctr_ok && xlen == 32)
941 return state.minstret >> 32;
942 break;
943 case CSR_MINSTRETH:
944 case CSR_MCYCLEH:
945 if (xlen == 32)
946 return state.minstret >> 32;
947 break;
948 case CSR_SCOUNTEREN: return state.scounteren;
949 case CSR_MCOUNTEREN: return state.mcounteren;
950 case CSR_SSTATUS: {
951 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
952 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR | SSTATUS_UXL;
953 reg_t sstatus = state.mstatus & mask;
954 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
955 (sstatus & SSTATUS_XS) == SSTATUS_XS)
956 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
957 return sstatus;
958 }
959 case CSR_SIP: return state.mip & state.mideleg;
960 case CSR_SIE: return state.mie & state.mideleg;
961 case CSR_SEPC: return state.sepc & pc_alignment_mask();
962 case CSR_STVAL: return state.stval;
963 case CSR_STVEC: return state.stvec;
964 case CSR_SCAUSE:
965 if (max_xlen > xlen)
966 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
967 return state.scause;
968 case CSR_SATP:
969 if (get_field(state.mstatus, MSTATUS_TVM))
970 require_privilege(PRV_M);
971 return state.satp;
972 case CSR_SSCRATCH: return state.sscratch;
973 case CSR_MSTATUS: return state.mstatus;
974 case CSR_MIP: return state.mip;
975 case CSR_MIE: return state.mie;
976 case CSR_MEPC: return state.mepc & pc_alignment_mask();
977 case CSR_MSCRATCH: return state.mscratch;
978 case CSR_MCAUSE: return state.mcause;
979 case CSR_MTVAL: return state.mtval;
980 case CSR_MISA: return state.misa;
981 case CSR_MARCHID: return 0;
982 case CSR_MIMPID: return 0;
983 case CSR_MVENDORID: return 0;
984 case CSR_MHARTID: return id;
985 case CSR_MTVEC: return state.mtvec;
986 case CSR_MEDELEG: return state.medeleg;
987 case CSR_MIDELEG: return state.mideleg;
988 case CSR_TSELECT: return state.tselect;
989 case CSR_TDATA1:
990 if (state.tselect < state.num_triggers) {
991 reg_t v = 0;
992 mcontrol_t *mc = &state.mcontrol[state.tselect];
993 v = set_field(v, MCONTROL_TYPE(xlen), mc->type);
994 v = set_field(v, MCONTROL_DMODE(xlen), mc->dmode);
995 v = set_field(v, MCONTROL_MASKMAX(xlen), mc->maskmax);
996 v = set_field(v, MCONTROL_SELECT, mc->select);
997 v = set_field(v, MCONTROL_TIMING, mc->timing);
998 v = set_field(v, MCONTROL_ACTION, mc->action);
999 v = set_field(v, MCONTROL_CHAIN, mc->chain);
1000 v = set_field(v, MCONTROL_MATCH, mc->match);
1001 v = set_field(v, MCONTROL_M, mc->m);
1002 v = set_field(v, MCONTROL_H, mc->h);
1003 v = set_field(v, MCONTROL_S, mc->s);
1004 v = set_field(v, MCONTROL_U, mc->u);
1005 v = set_field(v, MCONTROL_EXECUTE, mc->execute);
1006 v = set_field(v, MCONTROL_STORE, mc->store);
1007 v = set_field(v, MCONTROL_LOAD, mc->load);
1008 return v;
1009 } else {
1010 return 0;
1011 }
1012 break;
1013 case CSR_TDATA2:
1014 if (state.tselect < state.num_triggers) {
1015 return state.tdata2[state.tselect];
1016 } else {
1017 return 0;
1018 }
1019 break;
1020 case CSR_TDATA3: return 0;
1021 case CSR_DCSR:
1022 {
1023 uint32_t v = 0;
1024 v = set_field(v, DCSR_XDEBUGVER, 1);
1025 v = set_field(v, DCSR_EBREAKM, state.dcsr.ebreakm);
1026 v = set_field(v, DCSR_EBREAKH, state.dcsr.ebreakh);
1027 v = set_field(v, DCSR_EBREAKS, state.dcsr.ebreaks);
1028 v = set_field(v, DCSR_EBREAKU, state.dcsr.ebreaku);
1029 v = set_field(v, DCSR_STOPCYCLE, 0);
1030 v = set_field(v, DCSR_STOPTIME, 0);
1031 v = set_field(v, DCSR_CAUSE, state.dcsr.cause);
1032 v = set_field(v, DCSR_STEP, state.dcsr.step);
1033 v = set_field(v, DCSR_PRV, state.dcsr.prv);
1034 return v;
1035 }
1036 case CSR_DPC:
1037 return state.dpc & pc_alignment_mask();
1038 case CSR_DSCRATCH:
1039 return state.dscratch;
1040 }
1041 throw trap_illegal_instruction(0);
1042 }
1043
1044 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
1045 {
1046 throw trap_illegal_instruction(0);
1047 }
1048
1049 insn_func_t processor_t::decode_insn(insn_t insn)
1050 {
1051 // look up opcode in hash table
1052 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
1053 insn_desc_t desc = opcode_cache[idx];
1054
1055 if (unlikely(insn.bits() != desc.match)) {
1056 // fall back to linear search
1057 insn_desc_t* p = &instructions[0];
1058 while ((insn.bits() & p->mask) != p->match)
1059 p++;
1060 desc = *p;
1061
1062 if (p->mask != 0 && p > &instructions[0]) {
1063 if (p->match != (p-1)->match && p->match != (p+1)->match) {
1064 // move to front of opcode list to reduce miss penalty
1065 while (--p >= &instructions[0])
1066 *(p+1) = *p;
1067 instructions[0] = desc;
1068 }
1069 }
1070
1071 opcode_cache[idx] = desc;
1072 opcode_cache[idx].match = insn.bits();
1073 }
1074
1075 return xlen == 64 ? desc.rv64 : desc.rv32;
1076 }
1077
1078 void processor_t::register_insn(insn_desc_t desc)
1079 {
1080 instructions.push_back(desc);
1081 }
1082
1083 void processor_t::build_opcode_map()
1084 {
1085 struct cmp {
1086 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
1087 if (lhs.match == rhs.match)
1088 return lhs.mask > rhs.mask;
1089 return lhs.match > rhs.match;
1090 }
1091 };
1092 std::sort(instructions.begin(), instructions.end(), cmp());
1093
1094 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
1095 opcode_cache[i] = {0, 0, &illegal_instruction, &illegal_instruction};
1096 }
1097
1098 void processor_t::register_extension(extension_t* x)
1099 {
1100 for (auto insn : x->get_instructions())
1101 register_insn(insn);
1102 build_opcode_map();
1103 for (auto disasm_insn : x->get_disasms())
1104 disassembler->add_insn(disasm_insn);
1105 if (ext != NULL)
1106 throw std::logic_error("only one extension may be registered");
1107 ext = x;
1108 x->set_processor(this);
1109 }
1110
1111 void processor_t::register_base_instructions()
1112 {
1113 #define DECLARE_INSN(name, match, mask) \
1114 insn_bits_t name##_match = (match), name##_mask = (mask);
1115 #include "encoding.h"
1116 #undef DECLARE_INSN
1117
1118 #define DEFINE_INSN(name) \
1119 REGISTER_INSN(this, name, name##_match, name##_mask)
1120 #include "insn_list.h"
1121 #undef DEFINE_INSN
1122
1123 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
1124 build_opcode_map();
1125 }
1126
1127 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
1128 {
1129 switch (addr)
1130 {
1131 case 0:
1132 if (len <= 4) {
1133 memset(bytes, 0, len);
1134 bytes[0] = get_field(state.mip, MIP_MSIP);
1135 return true;
1136 }
1137 break;
1138 }
1139
1140 return false;
1141 }
1142
1143 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
1144 {
1145 switch (addr)
1146 {
1147 case 0:
1148 if (len <= 4) {
1149 state.mip = set_field(state.mip, MIP_MSIP, bytes[0]);
1150 return true;
1151 }
1152 break;
1153 }
1154
1155 return false;
1156 }
1157
1158 void processor_t::trigger_updated()
1159 {
1160 mmu->flush_tlb();
1161 mmu->check_triggers_fetch = false;
1162 mmu->check_triggers_load = false;
1163 mmu->check_triggers_store = false;
1164
1165 for (unsigned i = 0; i < state.num_triggers; i++) {
1166 if (state.mcontrol[i].execute) {
1167 mmu->check_triggers_fetch = true;
1168 }
1169 if (state.mcontrol[i].load) {
1170 mmu->check_triggers_load = true;
1171 }
1172 if (state.mcontrol[i].store) {
1173 mmu->check_triggers_store = true;
1174 }
1175 }
1176 }
1177