(no commit message)
[libreriscv.git] / shakti / m_class.mdwn
1 # Shakti M-Class Libre SoC
2
3 This SoC is a propsed libre design that draws in expertise from mass-volume
4 SoCs of the past six years and beyond, and is being designed to cover just
5 as wide a range of target embedded / low-power / industrial markets as those
6 SoCs. Pincount is to be kept low in order to reduce cost as well as increase
7 yields.
8
9 * See <http://rise.cse.iitm.ac.in/shakti.html> M-Class for top-level
10 * See [[pinouts]] for auto-generated table of pinouts (including mux)
11 * See [[peripheralschematics]] for example Reference Layouts
12 * See [[ramanalysis]] for a comprehensive analysis of why DDR3 is to be used.
13 * See [[todo]] for a rough list of tasks (and link to bugtracker)
14
15 ## Rough specification.
16
17 Quad-core 28nm OpenPOWER 64-bit (OpenPOWER v3.0B core with Simple-V Vector Media / 3D
18 extensions), 300-pin 15x15mm BGA 0.8mm pitch, 32-bit DDR3-4/LPDDR3/4
19 memory interface and libre / open interfaces and accelerated hardware
20 functions suitable for the higher-end, low-power, embedded, industrial
21 and mobile space.
22
23 A 0.8mm pitch BGA allows relatively large (low-cost) VIA drill sizes
24 to be used (8-10mil) and 4-5mil tracks with 4mil clearance. For
25 details see
26 <http://processors.wiki.ti.com/index.php/General_hardware_design/BGA_PCB_design>
27
28 [[shakti_libre_riscv.jpg]]
29
30 ## Die area estimates
31
32 * <http://hwacha.org/papers/riscv-esscirc2014-talk.pdf>
33 * 40nm 64-bit rocket single-core single-issue in-order: 0.14mm^2
34 * 40nm 16-16k L1 caches, 0.25mm^2
35 * <http://people.csail.mit.edu/beckmann/publications/tech.../grain_size_tr_feb_2010.pdf>
36
37 ## Targetting full Libre Licensing to the bedrock.
38
39 The only barrier to being able to replicate the masks from scratch
40 is the proprietary cells (e.g. memory cells) designed by the Foundries:
41 there is a potential long-term strategy in place to deal with that issue.
42
43 The only proprietary interface utilised in the entire SoC is the DDR3/4
44 PHY plus Controller, which will be replaced in a future revision, making
45 the entire SoC exclusively designed and made from fully libre-licensed
46 BSD and LGPL openly and freely accessible VLSI and VHDL source.
47
48 In addition, no proprietary firmware whatsoever will be required to
49 operate or boot the device right from the bedrock: the entire software
50 stack will also be libre-licensed (even for programming the initial
51 proprietary DDR3/4 PHY+Controller)
52
53 # Inspiration from several sources
54
55 The design of this SoC is drawn from at least the following SoCs, which
56 have significant multiplexing for pinouts, reducing pincount whilst at
57 the same time permitting the SoC to be utilised across a very wide range
58 of markets:
59
60 * A10/A20 EVB <http://hands.com/~lkcl/eoma/A10-EVB-V1-2-20110726.pdf>
61 * RK3288 T-Firefly <http://www.t-firefly.com/download/firefly-rk3288/hardware/FR_RK3288_0930.pdf>
62 * Ingenic JZ4760B <ftp://ftp.ingenic.cn/SOC/JZ4760B/JZ4760B_DS_REVISION.PDF>
63 LEPUS Board <ftp://ftp.ingenic.cn/DevSupport/Hardware/RD4760B_LEPUS/RD4760B_LEPUS_V1.3.2.PDF>
64 * GPL-violating CT-PC89e <http://hands.com/~lkcl/seatron/>,
65 and <http://lkcl.net/arm_systems/CT-PC89E/> this was an 8.9in netbook
66 weighing only 0.72kg and having a 3 HOUR battery life on a single 2100mAh
67 cell, its casework alone inspired a decade of copycat china clone
68 netbooks as it was slowly morphed from its original 8.9in up to (currently)
69 an 11in form-factor almost a decade later in 2017.
70 * A64 Reference Designs for example this: <http://linux-sunxi.org/images/3/32/Banana_pi_BPI-M64-V1_1-Release_201609.pdf>
71
72 TI Boards such as the BeagleXXXX Series, or the Freescale iMX6
73 WandBoard etc., are, whilst interesting, have a different kind of focus
74 and "feel" about them, as they are typically designed by Western firms
75 with less access or knowledge of the kinds of low-cost tricks deployed
76 to ingenious and successful effect by Chinese Design Houses. Not only
77 that but they typically know the best components to buy. Western-designed
78 PCBs typically source exclusively from Digikey, AVNet, Mouser etc. and
79 the prices are often two to **TEN** times more costly as a result.
80
81 The TI and Freescale (now NXP) series SoCs themselves are also just as
82 interesting to study, but again have a subtly different focus: cost of
83 manufacture of PCBs utilising them not being one of those primary focii.
84 Freescale's iMX6 is well-known for its awesome intended lifespan and support:
85 **ninteen** years. That does however have some unintended knock-on effects
86 on its pricing.
87
88 Instead, the primary input is taken from Chinese-designed SoCs, where cost
89 and ease of production, manufacturing and design of a PCB using the planned
90 SoC, as well as support for high-volume mass-produced peripherals is
91 firmly a priority focus.
92
93 # Target Markets
94
95 * EOMA68 Computer Card form-factor (general-purpose, eco-conscious)
96 * Smartphone / Tablet (basically the same thing, different LCD/CTP size)
97 * Low-end (ChromeOS style) laptop
98 * Industrial uses when augmented by a suitable MCU (for ADC/DAC/CAN etc.)
99
100 ## Common Peripherals to majority of target markets
101
102 * SPI or 8080 or [RGB/TTL](RGBTTL) or LVDS LCD display. SPI: 320x240. LVDS: 1440x900.
103 * LCD Backlight, requires GPIO power-control plus PWM for brightness control
104 * USB-OTG Port (OTG-Host, OTG Client, Charging capability)
105 * Baseband Modem (GSM / GPRS / 3G / LTE) requiring USB, UART, and PCM audio
106 * Bluetooth, requires either full UART or SD/MMC or USB, plus control GPIO
107 * WIFI, requires either USB (but with power penalties) or better SD/MMC
108 * SD/MMC for external MicroSD
109 * SD/MMC for on-PCB eMMC (care needed on power/boot sequence)
110 * NAND Flash (not recommended), requires 8080/ATI-style Bus with dedicated CS#
111 * Optional 4-wire [[QSPI]] NAND/NOR for boot (XIP - Execute In-place - recommended).
112 * Audio over [[I2S]] (5-pin: 4 for output, 1 for input), fall-back to USB Audio
113 * Audio also over [[AC97]]
114 * Some additional SPI peripherals, e.g. connection to low-power MCU.
115 * GPIO (EINT-capable, with wakeup) for buttons, power, volume etc.
116 * Camera(s) either by CSI-1 (parallel CSI) or better by USB
117 * I2C sensors: accelerometer, compass, etc. Each requires EINT and RST GPIO.
118 * Capacitive Touchpanel (I2C and also requiring EINT and RST GPIO)
119 * Real-time Clock (usually an I2C device but may be on-board a support MCU)
120 * [[PCIe]] via PXPIPE
121 * [[LPC]] from Raptor Engineering
122 * [[USB3]]
123 * [[RGMII]] Gigabit Ethernet
124
125 ## Peripherals unique to laptop market
126
127 * Keyboard (USB or keyboard-matrix managed by MCU)
128 * USB, I2C or SPI Mouse-trackpad (plus button GPIO, EINT capable)
129
130 ## Peripherals common to laptop and Industrial Market
131
132 * Ethernet ([[RGMII]] or better 8080-style XT/AT/ATI MCU bus for e.g. DM9000)
133
134 ## Augmentation by an embedded MCU
135
136 Some functions, particularly analog, are particularly tricky to implement
137 in an early SoC. In addition, CAN is still patented (not any more). For unusual, patented
138 or analog functionality such as RTC, ADC, DAC, SPDIF, One-wire Bus
139 and so on it is easier and simpler to deploy an ultra-low-cost low-speed
140 companion Micro-Controller such as the crystal-less STMS8003 ($0.24) or
141 the crystal-less STM32F072 or other suitable MCU, depending on requirements.
142 For high-speed interconnect it may be wired up as an SPI device, and for
143 lower-speed communication UART would be the simplest and easiest means of
144 two-way communication.
145
146 This technique can be deployed in all scenarios (phone, tablet, laptop,
147 industrial), and is an extremely low-cost way of getting RTC functionality
148 for example. The cost of, for example, dedicated I2C sensors that provide
149 RTC functionality, or ADC or DAC or "Digipot", are actually incredibly
150 high, relatively speaking. Some very simple software and a general-purpose
151 MCU does the exact same job. In particularly cost-sensitive applications,
152 DAC may be substituted by a PWM, an RC circuit, and an optional feedback
153 loop into an ADC pin to monitor situations where changing load on the RC
154 circuit alters the output voltage. All done entirely in the MCU's software.
155
156 An MCU may even be used to emulate SPI "XIP" (Execute in-place) NAND
157 memory, such that there is no longer a need to deploy a dedicated SPI
158 NOR bootloader IC (which are really quite expensive). By emulating
159 an SPI XIP device the SoC may boot from the NAND Flash storage built-in
160 to the embedded MCU, or may even feed the SoC data from a USB-OTG
161 or other interface. This makes for an extremely flexible bootloader
162 capability, without the need for totally redoing the SoC masks just to
163 add extra BOOTROM functions.
164
165 ## Common Internal (on-board) acceleration and hardware functions
166
167 * 2D accelerated display
168 * 3D accelerated graphics
169 * Video encode / decode
170 * Image encode / decode
171 * Crypto functions (SHA, Rijndael, DES, etc., Diffie-Hellman, RSA)
172 * Cryptographically-secure PRNG (hard to get right)
173
174 ### 2D acceleration
175
176 The ORSOC GPU contains basic primitives for 2D: rectangles, sprites,
177 image acceleration, scalable fonts, and Z-buffering and much more.
178
179 <https://opencores.org/project,orsoc_graphics_accelerator>
180
181 <https://github.com/m-labs/milkymist/tree/master/cores/tmu2>
182
183 ### 3D acceleration
184
185 * MIAOW: ATI-compatible shader engine <http://miaowgpu.org/>
186 * ORSOC GPU contains some primitives that can be used
187 * Simple-V Vector extensions can obviate the need for a "full" separate GPU
188 * Nyuzi (OpenMP, based on Intel Larabee Compute Engine)
189 * Rasteriser <https://github.com/jbush001/ChiselGPU/tree/master/hardware>
190 * OpenShader <https://git.code.sf.net/p/openshader/code>
191 * GPLGPU <https://github.com/asicguy/gplgpu>
192 * FlexGripPlus <https://github.com/Jerc007/Open-GPGPU-FlexGrip->
193
194 ### Video encode / decode
195
196 * video primitives <https://opencores.org/project,video_systems>
197 * MPEG decoder <https://opencores.org/project,mpeg2fpga>
198 * Google make free VP8 and VP9 hard macros available for production use only
199
200 ### Image encode / decode
201
202 partially covered by the ORSOC GPU
203
204 ### Crypto functions
205
206 TBD
207
208 ### Cryptographically-secure PRNG
209
210 TBD
211
212 # Proposed Interfaces
213
214 * Plain [[GPIO]] multiplexed with a [[pinmux]] onto (nearly) all other pins
215 * RGB/TTL up to 1440x900 @ 60fps, 24-bit colour
216 * 2x 1-lane [[SPI]]
217 * 1x 4-lane (quad) [[QSPI]]
218 * 4x SD/MMC (1x 1/2/4/8-bit, 3x 1/2/4-bit)
219 * 2x full [[UART]] incl. CTS/RTS
220 * 3x [[UART]] (TX/RX only)
221 * 3x [[I2C]] (in case of address clashes between peripherals)
222 * 8080-style AT/XT/ATI MCU Bus Interface, with multiple (8x CS#) lines
223 * 3x [[PWM]]-capable GPIO
224 * 32x [[EINT]]-cable GPIO with full edge-triggered and low/high IRQ capability
225 * 1x [[I2S]] audio with 4-wire output and 1-wire input.
226 * 3x [[USB2]] ([[ULPI]] for reduced pincount) each capable of USB-OTG support
227 * [[DDR]] DDR3/DDR3L/LPDDR3 32-bit-wide memory controller
228 * [[JTAG]] for debugging
229
230 Some interfaces at:
231
232 * <https://github.com/RoaLogic/apb4_gpio>
233 * <https://github.com/sifive/sifive-blocks/tree/master/src/main/scala/devices/>
234 includes GPIO, SPI, UART, JTAG, I2C, PinCtrl, UART and PWM. Also included
235 is a Watchdog Timer and others.
236 * <https://github.com/sifive/freedom/blob/master/src/main/scala/everywhere/e300artydevkit/Platform.scala>
237 Pinmux ("IOF") for multiplexing several I/O functions onto a single pin
238 * <https://bitbucket.org/casl/c-class/src/0e77398a030bfd705930d0f1b8b9b5050d76e265/src/peripherals/?at=master>
239 including AXI, DMA, GPIO, I2C, JTAG, PLIC, QSPI, SDRAM, UART (and TCM?).
240 FlexBus, HyperBus and xSPI to be added.
241
242 List of Interfaces:
243
244 * [[CSI]]
245 * [[DDR]]
246 * [[JTAG]]
247 * [[I2C]]
248 * [[I2S]]
249 * [[PWM]]
250 * [[EINT]]
251 * [[FlexBus]]
252 * LCD / RGB/TTL [[RGBTTL]]
253 * [[SPI]]
254 * [[QSPI]]
255 * SD/MMC and eMMC [[sdmmc]]
256 * Pin Multiplexing [[pinmux]]
257 * Gigabit Ethernet [[RGMII]]
258 * SDRAM [[sdram]]
259
260 List of Internal Interfaces:
261
262 * [[AXI]]
263 * [[wishbone]]
264
265 # Items requiring clarification, or proposals TBD
266
267 ## Core Voltage Domains from the PMIC
268
269 See [[peripheralschematics]] - what default (start-up) voltage can the
270 core of the proposed 28nm SoC cope with for short durations? The AXP209
271 PMIC defaults to a 1.25v CPU core voltage, and 1.2v for the logic. It
272 can be changed by the SoC by communicating over I2C but the start-up
273 voltage of the PMIC may not be changed. What is the maximum voltage
274 that the SoC can run at, for short durations at a greatly-reduced clock rate?
275
276 ## 3.3v tolerance
277
278 Can the GPIO be made at least 3.3v tolerant?
279
280 ## Shakti Flexbus implementation: 32-bit word-aligned access
281
282 The FlexBus implementation may only make accesses onto the back-end
283 AXI bus on 32-bit word-aligned boundaries. How this affects FlexBus
284 memory accesses (read and write) on 8-bit and 16-bit boundaries is
285 yet to be determined. It is particularly relevant e.g. for 24-bit
286 pixel accesses on 8080 (MCU) style LCD controllers that have their
287 own on-board SRAM.
288
289 ## Confirmation of GPIO Power Domains
290
291 The proposed plan is to stick with a fixed 1.8v GPIO level across all
292 GPIO banks. However as outlined in the section above, this has some
293 distinct disadvantages, particularly for e.g. SRAM access over FlexBus:
294 that would often require a 50-way bi-directional level-shifter Bus IC,
295 with over 100 pins!
296
297 ## Proposal / Concept to include "Minion Cores" on a 7-way pinmux
298
299 The lowRISC team first came up with the idea, instead of having a pinmux,
300 to effectively bit-bang pretty much all GPIO using **multiple** 32-bit
301 RISC-V non-SMP integer-only cores each with a tiny instruction and data
302 cache (or, simpler, access to their own independent on-die SRAM).
303 The reasoning behind this is: if it's a dedicated core, it's not really
304 bit-banging any more. The technique is very commonly deployed, typically
305 using an 8051 MCU engine, as it means that a mass-produced peripheral may
306 be firmware-updated in the field for example if a Standard has unanticipated
307 flaws or otherwise requires updating.
308
309 The proposal here is to add four extra pin-mux selectors (an extra bit
310 to what is currently a 2-bit mux per pin), and for each GPIO bank to map to
311 one of four such ultra-small "Minion Cores". For each pin, Pin-mux 4 would
312 select the first Minion core, Pin-mux 5 would select the second and so on.
313 The sizes of the GPIO banks are as follows:
314
315 * Bank A: 16
316 * Bank B: 28
317 * Bank C: 24
318 * Bank D: 24
319 * Bank E: 24
320 * Bank F: 10
321
322 Therefore, it is proposed that each Minion Core have 28 EINT-capable
323 GPIOs, and that all but Bank A and F map their GPIO number (minus the
324 Bank Designation letter) direct to the Minion Core GPIOs. For Banks
325 A and F, the numbering is proposed to be concatenated, so that A0 through
326 A15 maps to a Minion Core's GPIO 0 to 15, and F0 to F10 map to a Minion
327 Core's GPIO 16 to 25 (another alternative idea would be to split Banks
328 A and F to complete B through E, taking them up to 32 I/O per Minion core).
329
330 With careful selection from different banks it should be possible to map
331 unused spare pins to a complete, contiguous, sequential set of any given
332 Minion Core, such that the Minion Core could then bit-bang anything up to
333 a 28-bit-wide Bus. Theoretically this could make up a second RGB/TTL
334 LCD interface with up to 24 bits per pixel.
335
336 For low-speed interfaces, particularly those with an independent clock
337 that the interface takes into account that the clock changes on a different
338 time-cycle from the data, this should work perfectly fine. Whether the
339 idea is practical for higher-speed interfaces or or not will critically
340 depend on whether the Minion Core can do mask-spread atomic
341 reads/writes from a register to/from memory-addressed GPIO or not,
342 and faster I/O streams will almost certainly require some form of
343 serialiser/de-serialiser hardware-assist, and definitely each their
344 own DMA Engine.
345
346 If the idea proves successful it would be extremely nice to have a
347 future version that has direct access to generic LVDS lines, plus
348 S8/10 ECC hardware-assist engines. If the voltage may be set externally
349 and accurate PLL clock timing provided, it may become possible to bit-bang
350 and software-emulate high-speed interfaces such as SATA, HDMI, PCIe and
351 many more.
352
353 # Testing
354
355 * cocotb
356 * <https://github.com/aoeldemann/cocotb> cocotb AXI4 stream interface
357
358 # Research (to investigate)
359
360 * LPC Interface <https://gitlab.raptorengineering.com/raptor-engineering-public/lpc-spi-bridge-fpga>
361 * <https://level42.ca/projects/ultra64/Documentation/man/pro-man/pro25/index25.1.html>
362 * <http://n64devkit.square7.ch/qa/graphics/ucode.htm>
363 * <https://dac.com/media-center/exhibitor-news/synopsys%E2%80%99-designware-universal-ddr-memory-controller-delivers-30-percent> 110nm DDR3 PHY
364 * <https://bitbucket.org/cfelton/minnesota> myhdl HDL cores
365 * B Extension proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/zi_7B15kj6s>
366 * Bit-extracts <https://github.com/cliffordwolf/bextdep>
367 * Bit-reverse <http://programming.sirrida.de/bit_perm.html#general_reverse_bits>
368 * Bit-permutations <http://programming.sirrida.de/bit_perm.html#c_e>
369 * Commentary on Micro-controller <https://github.com/emb-riscv/specs-markdown/blob/develop/improvements-upon-privileged.md>
370 * P-SIMD <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/vYVi95gF2Mo>
371
372 >
373 [[!tag cpus]]