add axi fn_address_mapping
[pinmux.git] / src / bsv / pinmux_generator.py
1 # ================================== Steps to add peripherals ============
2 # Step-1: create interface declaration for the peripheral to be added.
3 # Remember these are interfaces defined for the pinmux and hence
4 # will be opposite to those defined at the peripheral.
5 # For eg. the output TX from the UART will be input (method Action)
6 # for the pinmux.
7 # These changes will have to be done in interface_decl.py
8 # Step-2 define the wires that will be required to transfer data from the
9 # peripheral interface to the IO cell and vice-versa. Create a
10 # mkDWire for each input/output between the peripheral and the
11 # pinmux. Also create an implicit wire of GenericIOType for each cell
12 # that can be connected to a each bit from the peripheral.
13 # These changes will have to be done in wire_def.py
14 # Step-3: create the definitions for each of the methods defined above.
15 # These changes will have to be done in interface_decl.py
16 # ========================================================================
17
18 # default module imports
19 import shutil
20 import os
21 import os.path
22 import time
23
24 # project module imports
25 from bsv.interface_decl import Interfaces, mux_interface, io_interface
26 from parse import Parse
27 from bsv.actual_pinmux import init
28 from bsv.bus_transactors import axi4_lite
29
30 copyright = '''
31 /*
32 This BSV file has been generated by the PinMux tool available at:
33 https://bitbucket.org/casl/pinmux.
34
35 Authors: Neel Gala, Luke
36 Date of generation: ''' + time.strftime("%c") + '''
37 */
38 '''
39 header = copyright + '''
40 package pinmux;
41
42 '''
43 footer = '''
44 endmodule
45 endpackage
46 '''
47
48
49 def pinmuxgen(pth=None, verify=True):
50 """ populating the file with the code
51 """
52
53 p = Parse(pth, verify)
54 iocells = Interfaces()
55 iocells.ifaceadd('io', p.N_IO, io_interface, 0)
56 ifaces = Interfaces(pth)
57 #ifaces.ifaceadd('io', p.N_IO, io_interface, 0)
58 init(p, ifaces)
59
60 bp = 'bsv_src'
61 if pth:
62 bp = os.path.join(pth, bp)
63 if not os.path.exists(bp):
64 os.makedirs(bp)
65 bl = os.path.join(bp, 'bsv_lib')
66 if not os.path.exists(bl):
67 os.makedirs(bl)
68
69 cwd = os.path.split(__file__)[0]
70
71 # copy over template and library files
72 shutil.copyfile(os.path.join(cwd, 'Makefile.template'),
73 os.path.join(bp, 'Makefile'))
74 cwd = os.path.join(cwd, 'bsv_lib')
75 for fname in ['AXI4_Lite_Types.bsv', 'Semi_FIFOF.bsv',
76 'gpio.bsv', 'mux.bsv']:
77 shutil.copyfile(os.path.join(cwd, fname),
78 os.path.join(bl, fname))
79
80 bus = os.path.join(bp, 'busenable.bsv')
81 pmp = os.path.join(bp, 'pinmux.bsv')
82 ptp = os.path.join(bp, 'PinTop.bsv')
83 bvp = os.path.join(bp, 'bus.bsv')
84 idef = os.path.join(bp, 'instance_defines.bsv')
85 slow = os.path.join(bp, 'slow_peripherals.bsv')
86 slowt = os.path.join(cwd, 'slow_peripherals_template.bsv')
87
88 write_pmp(pmp, p, ifaces, iocells)
89 write_ptp(ptp, p, ifaces)
90 write_bvp(bvp, p, ifaces)
91 write_bus(bus, p, ifaces)
92 write_instances(idef, p, ifaces)
93 write_slow(slow, slowt, p, ifaces)
94
95
96 def write_slow(slow, template, p, ifaces):
97 """ write out the slow_peripherals.bsv file.
98 joins all the peripherals together into one AXI Lite interface
99 """
100 with open(template) as bsv_file:
101 template = bsv_file.read()
102 imports = ifaces.slowimport()
103 ifdecl = ifaces.slowifdecl()
104 regdef = ifaces.axi_reg_def()
105 slavedecl = ifaces.axi_slave_idx()
106 fnaddrmap = ifaces.axi_addr_map()
107 with open(slow, "w") as bsv_file:
108 bsv_file.write(template.format(imports, ifdecl, regdef, slavedecl,
109 fnaddrmap))
110
111
112 def write_bus(bus, p, ifaces):
113 # package and interface declaration followed by
114 # the generic io_cell definition
115 with open(bus, "w") as bsv_file:
116 ifaces.busfmt(bsv_file)
117
118
119 def write_pmp(pmp, p, ifaces, iocells):
120 # package and interface declaration followed by
121 # the generic io_cell definition
122 with open(pmp, "w") as bsv_file:
123 bsv_file.write(header)
124
125 cell_bit_width = 'Bit#(%d)' % p.cell_bitwidth
126 bsv_file.write('''\
127 interface MuxSelectionLines;
128
129 // declare the method which will capture the user pin-mux
130 // selection values.The width of the input is dependent on the number
131 // of muxes happening per IO. For now we have a generalized width
132 // where each IO will have the same number of muxes.''')
133
134 for cell in p.muxed_cells:
135 bsv_file.write(mux_interface.ifacefmt(cell[0], cell_bit_width))
136
137 bsv_file.write("\n endinterface\n")
138
139 bsv_file.write('''
140
141 interface IOCellSide;
142 // declare the interface to the IO cells.
143 // Each IO cell will have 1 input field (output from pin mux)
144 // and an output and out-enable field (input to pinmux)''')
145
146 # == create method definitions for all iocell interfaces ==#
147 iocells.ifacefmt(bsv_file)
148
149 # ===== finish interface definition and start module definition=======
150 bsv_file.write("\n endinterface\n")
151
152 # ===== io cell definition =======
153 bsv_file.write('''
154
155 interface PeripheralSide;
156 // declare the interface to the peripherals
157 // Each peripheral's function will be either an input, output
158 // or be bi-directional. an input field will be an output from the
159 // peripheral and an output field will be an input to the peripheral.
160 // Bi-directional functions also have an output-enable (which
161 // again comes *in* from the peripheral)''')
162 # ==============================================================
163
164 # == create method definitions for all peripheral interfaces ==#
165 ifaces.ifacefmt(bsv_file)
166 bsv_file.write("\n endinterface\n")
167
168 # ===== finish interface definition and start module definition=======
169 bsv_file.write('''
170
171 interface Ifc_pinmux;
172 // this interface controls how each IO cell is routed. setting
173 // any given IO cell's mux control value will result in redirection
174 // of not just the input or output to different peripheral functions
175 // but also the *direction* control - if appropriate - as well.
176 interface MuxSelectionLines mux_lines;
177
178 // this interface contains the inputs, outputs and direction-control
179 // lines for all peripherals. GPIO is considered to also be just
180 // a peripheral because it also has in, out and direction-control.
181 interface PeripheralSide peripheral_side;
182
183 // this interface is to be linked to the individual IO cells.
184 // if looking at a "non-muxed" GPIO design, basically the
185 // IO cell input, output and direction-control wires are cut
186 // (giving six pairs of dangling wires, named left and right)
187 // these iocells are routed in their place on one side ("left")
188 // and the matching *GPIO* peripheral interfaces in/out/dir
189 // connect to the OTHER side ("right"). the result is that
190 // the muxer settings end up controlling the routing of where
191 // the I/O from the IOcell actually goes.
192 interface IOCellSide iocell_side;
193 endinterface
194 (*synthesize*)
195 module mkpinmux(Ifc_pinmux);
196 ''')
197 # ====================================================================
198
199 # ======================= create wire and registers =================#
200 bsv_file.write('''
201 // the followins wires capture the pin-mux selection
202 // values for each mux assigned to a CELL
203 ''')
204 for cell in p.muxed_cells:
205 bsv_file.write(mux_interface.wirefmt(
206 cell[0], cell_bit_width))
207
208 iocells.wirefmt(bsv_file)
209 ifaces.wirefmt(bsv_file)
210
211 bsv_file.write("\n")
212 # ====================================================================
213 # ========================= Actual pinmuxing ========================#
214 bsv_file.write('''
215 /*====== This where the muxing starts for each io-cell======*/
216 Wire#(Bit#(1)) val0<-mkDWire(0); // need a zero
217 ''')
218 bsv_file.write(p.pinmux)
219 bsv_file.write('''
220 /*============================================================*/
221 ''')
222 # ====================================================================
223 # ================= interface definitions for each method =============#
224 bsv_file.write('''
225 interface mux_lines = interface MuxSelectionLines
226 ''')
227 for cell in p.muxed_cells:
228 bsv_file.write(
229 mux_interface.ifacedef(
230 cell[0], cell_bit_width))
231 bsv_file.write("\n endinterface;")
232
233 bsv_file.write('''
234 interface iocell_side = interface IOCellSide
235 ''')
236 iocells.ifacedef(bsv_file)
237 bsv_file.write("\n endinterface;")
238
239 bsv_file.write('''
240 interface peripheral_side = interface PeripheralSide
241 ''')
242 ifaces.ifacedef(bsv_file)
243 bsv_file.write("\n endinterface;")
244
245 bsv_file.write(footer)
246 print("BSV file successfully generated: bsv_src/pinmux.bsv")
247 # ======================================================================
248
249
250 def write_ptp(ptp, p, ifaces):
251 with open(ptp, 'w') as bsv_file:
252 bsv_file.write(copyright + '''
253 package PinTop;
254 import pinmux::*;
255 interface Ifc_PintTop;
256 method ActionValue#(Bool) write(Bit#({0}) addr, Bit#({1}) data);
257 method Tuple2#(Bool,Bit#({1})) read(Bit#({0}) addr);
258 interface PeripheralSide peripheral_side;
259 endinterface
260
261 module mkPinTop(Ifc_PintTop);
262 // instantiate the pin-mux module here
263 Ifc_pinmux pinmux <-mkpinmux;
264
265 // declare the registers which will be used to mux the IOs
266 '''.format(p.ADDR_WIDTH, p.DATA_WIDTH))
267
268 cell_bit_width = str(p.cell_bitwidth)
269 for cell in p.muxed_cells:
270 bsv_file.write('''
271 Reg#(Bit#({0})) rg_muxio_{1} <-mkReg(0);'''.format(
272 cell_bit_width, cell[0]))
273
274 bsv_file.write('''
275 // rule to connect the registers to the selection lines of the
276 // pin-mux module
277 rule connect_selection_registers;''')
278
279 for cell in p.muxed_cells:
280 bsv_file.write('''
281 pinmux.mux_lines.cell{0}_mux(rg_muxio_{0});'''.format(cell[0]))
282
283 bsv_file.write('''
284 endrule
285 // method definitions for the write user interface
286 method ActionValue#(Bool) write(Bit#({2}) addr, Bit#({3}) data);
287 Bool err=False;
288 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
289 p.ADDR_WIDTH, p.DATA_WIDTH))
290 index = 0
291 for cell in p.muxed_cells:
292 bsv_file.write('''
293 {0}: rg_muxio_{1}<=truncate(data);'''.format(index, cell[0]))
294 index = index + 1
295
296 bsv_file.write('''
297 default: err=True;
298 endcase
299 return err;
300 endmethod''')
301
302 bsv_file.write('''
303 // method definitions for the read user interface
304 method Tuple2#(Bool,Bit#({3})) read(Bit#({2}) addr);
305 Bool err=False;
306 Bit#(32) data=0;
307 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
308 p.ADDR_WIDTH, p.DATA_WIDTH))
309 index = 0
310 for cell in p.muxed_cells:
311 bsv_file.write('''
312 {0}: data=zeroExtend(rg_muxio_{1});'''.format(index, cell[0]))
313 index = index + 1
314
315 bsv_file.write('''
316 default:err=True;
317 endcase
318 return tuple2(err,data);
319 endmethod
320 interface peripheral_side=pinmux.peripheral_side;
321 endmodule
322 endpackage
323 ''')
324
325
326 def write_bvp(bvp, p, ifaces):
327 # ######## Generate bus transactors ################
328 gpiocfg = '\t\tinterface GPIO_config#({4}) bank{3}_config;\n' \
329 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) bank{3}_slave;'
330 muxcfg = '\t\tinterface MUX_config#({4}) muxb{3}_config;\n' \
331 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) muxb{3}_slave;'
332
333 gpiodec = '\tGPIO#({0}) mygpio{1} <- mkgpio();'
334 muxdec = '\tMUX#({0}) mymux{1} <- mkmux();'
335 gpioifc = '\tinterface bank{0}_config=mygpio{0}.pad_config;\n' \
336 '\tinterface bank{0}_slave=mygpio{0}.axi_slave;'
337 muxifc = '\tinterface muxb{0}_config=mymux{0}.mux_config;\n' \
338 '\tinterface muxb{0}_slave=mymux{0}.axi_slave;'
339 with open(bvp, 'w') as bsv_file:
340 # assume here that all muxes have a 1:1 gpio
341 cfg = []
342 decl = []
343 idec = []
344 iks = sorted(ifaces.keys())
345 for iname in iks:
346 if not iname.startswith('gpio'): # TODO: declare other interfaces
347 continue
348 bank = iname[4:]
349 ifc = ifaces[iname]
350 npins = len(ifc.pinspecs)
351 cfg.append(gpiocfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
352 0, # USERSPACE
353 bank, npins))
354 cfg.append(muxcfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
355 0, # USERSPACE
356 bank, npins))
357 decl.append(gpiodec.format(npins, bank))
358 decl.append(muxdec .format(npins, bank))
359 idec.append(gpioifc.format(bank))
360 idec.append(muxifc.format(bank))
361 print dir(ifaces)
362 print ifaces.items()
363 print dir(ifaces['gpioa'])
364 print ifaces['gpioa'].pinspecs
365 gpiodecl = '\n'.join(decl) + '\n' + '\n'.join(idec)
366 gpiocfg = '\n'.join(cfg)
367 bsv_file.write(axi4_lite.format(gpiodecl, gpiocfg))
368 # ##################################################
369
370
371 def write_instances(idef, p, ifaces):
372 with open(idef, 'w') as bsv_file:
373 txt = '''\
374 `define ADDR {0}
375 `define DATA {1}
376 `define USERSPACE 0
377 '''
378 bsv_file.write(txt.format(p.ADDR_WIDTH, p.DATA_WIDTH))