minor reorg, add alu
[rv32.git] / cpu.py
1 """
2 /*
3 * Copyright 2018 Jacob Lifshay
4 *
5 * Permission is hereby granted, free of charge, to any person obtaining a copy
6 * of this software and associated documentation files (the "Software"), to deal
7 * in the Software without restriction, including without limitation the rights
8 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 * copies of the Software, and to permit persons to whom the Software is
10 * furnished to do so, subject to the following conditions:
11 *
12 * The above copyright notice and this permission notice shall be included in all
13 * copies or substantial portions of the Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 *
23 */
24 `timescale 1ns / 1ps
25 `include "riscv.vh"
26 `include "cpu.vh"
27 """
28
29 from migen import *
30 from migen.fhdl import verilog
31 from migen.fhdl.structure import _Operator
32
33 from riscvdefs import *
34 from cpudefs import *
35
36 class MemoryInterface:
37 fetch_address = Signal(32, name="memory_interface_fetch_address") # XXX [2:]
38 fetch_data = Signal(32, name="memory_interface_fetch_data")
39 fetch_valid = Signal(name="memory_interface_fetch_valid")
40 rw_address= Signal(32, name="memory_interface_rw_address") # XXX [2:]
41 rw_byte_mask = Signal(4, name="memory_interface_rw_byte_mask")
42 rw_read_not_write = Signal(name="memory_interface_rw_read_not_write")
43 rw_active = Signal(name="memory_interface_rw_active")
44 rw_data_in = Signal(32, name="memory_interface_rw_data_in")
45 rw_data_out = Signal(32, name="memory_interface_rw_data_out")
46 rw_address_valid = Signal(name="memory_interface_rw_address_valid")
47 rw_wait = Signal(name="memory_interface_rw_wait")
48
49
50 class Decoder:
51 funct7 = Signal(7, name="decoder_funct7")
52 funct3 = Signal(3, name="decoder_funct3")
53 rd = Signal(5, name="decoder_rd")
54 rs1 = Signal(5, name="decoder_rs1")
55 rs2 = Signal(5, name="decoder_rs2")
56 immediate = Signal(32, name="decoder_immediate")
57 opcode = Signal(7, name="decoder_opcode")
58 act = Signal(decode_action, name="decoder_action")
59
60
61 class CPU(Module):
62 """
63 """
64
65 def get_ls_misaligned(self, ls, funct3, load_store_address_low_2):
66 return Case(funct3[:2],
67 { F3.sb: ls.eq(Constant(0)),
68 F3.sh: ls.eq(load_store_address_low_2[0] != 0),
69 F3.sw: ls.eq(load_store_address_low_2[0:2] != Constant(0, 2)),
70 "default": ls.eq(Constant(1))
71 })
72
73 def get_lsbm(self, dc):
74 return Cat(Constant(1),
75 Mux((dc.funct3[1] | dc.funct3[0]),
76 Constant(1), Constant(0)),
77 Mux((dc.funct3[1]),
78 Constant(0b11, 2), Constant(0, 2)))
79
80 def __init__(self):
81 self.clk = ClockSignal()
82 self.reset = ResetSignal()
83 self.tty_write = Signal()
84 self.tty_write_data = Signal(8)
85 self.tty_write_busy = Signal()
86 self.switch_2 = Signal()
87 self.switch_3 = Signal()
88 self.led_1 = Signal()
89 self.led_3 = Signal()
90
91 ram_size = Constant(0x8000)
92 ram_start = Constant(0x10000, 32)
93 reset_vector = Signal(32)
94 mtvec = Signal(32)
95
96 reset_vector.eq(ram_start)
97 mtvec.eq(ram_start + 0x40)
98
99 l = []
100 for i in range(31):
101 l.append(Signal(32, name="register%d" % i))
102 registers = Array(l)
103
104 mi = MemoryInterface()
105
106 mii = Instance("cpu_memory_interface", name="memory_instance",
107 p_ram_size = ram_size,
108 p_ram_start = ram_start,
109 i_clk=ClockSignal(),
110 i_rst=ResetSignal(),
111 i_fetch_address = mi.fetch_address,
112 o_fetch_data = mi.fetch_data,
113 o_fetch_valid = mi.fetch_valid,
114 i_rw_address = mi.rw_address,
115 i_rw_byte_mask = mi.rw_byte_mask,
116 i_rw_read_not_write = mi.rw_read_not_write,
117 i_rw_active = mi.rw_active,
118 i_rw_data_in = mi.rw_data_in,
119 o_rw_data_out = mi.rw_data_out,
120 o_rw_address_valid = mi.rw_address_valid,
121 o_rw_wait = mi.rw_wait,
122 o_tty_write = self.tty_write,
123 o_tty_write_data = self.tty_write_data,
124 i_tty_write_busy = self.tty_write_busy,
125 i_switch_2 = self.switch_2,
126 i_switch_3 = self.switch_3,
127 o_led_1 = self.led_1,
128 o_led_3 = self.led_3
129 )
130 self.specials += mii
131
132 fetch_act = Signal(fetch_action)
133 fetch_target_pc = Signal(32)
134 fetch_output_pc = Signal(32)
135 fetch_output_instruction = Signal(32)
136 fetch_output_st = Signal(fetch_output_state)
137
138 fs = Instance("CPUFetchStage", name="fetch_stage",
139 i_clk=ClockSignal(),
140 i_rst=ResetSignal(),
141 o_memory_interface_fetch_address = mi.fetch_address,
142 i_memory_interface_fetch_data = mi.fetch_data,
143 i_memory_interface_fetch_valid = mi.fetch_valid,
144 i_fetch_action = fetch_act,
145 i_target_pc = fetch_target_pc,
146 o_output_pc = fetch_output_pc,
147 o_output_instruction = fetch_output_instruction,
148 o_output_state = fetch_output_st,
149 i_reset_vector = reset_vector,
150 i_mtvec = mtvec,
151 )
152 self.specials += fs
153
154 dc = Decoder()
155
156 cd = Instance("CPUDecoder", name="decoder",
157 i_instruction = fetch_output_instruction,
158 o_funct7 = dc.funct7,
159 o_funct3 = dc.funct3,
160 o_rd = dc.rd,
161 o_rs1 = dc.rs1,
162 o_rs2 = dc.rs2,
163 o_immediate = dc.immediate,
164 o_opcode = dc.opcode,
165 o_decode_action = dc.act
166 )
167 self.specials += cd
168
169 register_rs1 = Signal(32)
170 register_rs2 = Signal(32)
171 self.comb += If(dc.rs1 == 0,
172 register_rs1.eq(0)
173 ).Else(
174 register_rs1.eq(registers[dc.rs1-1]))
175 self.comb += If(dc.rs2 == 0,
176 register_rs2.eq(0)
177 ).Else(
178 register_rs2.eq(registers[dc.rs2-1]))
179
180 load_store_address = Signal(32)
181 load_store_address_low_2 = Signal(2)
182
183 self.comb += load_store_address.eq(dc.immediate + register_rs1)
184 self.comb += load_store_address_low_2.eq(
185 dc.immediate[:2] + register_rs1[:2])
186
187 load_store_misaligned = Signal()
188
189 lsa = self.get_ls_misaligned(load_store_misaligned, dc.funct3,
190 load_store_address_low_2)
191 self.comb += lsa
192
193 # XXX rwaddr not 31:2 any more
194 self.comb += mi.rw_address.eq(load_store_address[2:])
195
196 unshifted_load_store_byte_mask = Signal(4)
197
198 self.comb += unshifted_load_store_byte_mask.eq(self.get_lsbm(dc))
199
200 # XXX yuck. this will cause migen simulation to fail
201 # (however conversion to verilog works)
202 self.comb += mi.rw_byte_mask.eq(
203 _Operator("<<", [unshifted_load_store_byte_mask,
204 load_store_address_low_2]))
205
206 # XXX not obvious
207 b3 = Mux(load_store_address_low_2[1],
208 Mux(load_store_address_low_2[0], register_rs2[0:8],
209 register_rs2[8:16]),
210 Mux(load_store_address_low_2[0], register_rs2[16:24],
211 register_rs2[24:32]))
212 b2 = Mux(load_store_address_low_2[1], register_rs2[0:8],
213 register_rs2[16:24])
214 b1 = Mux(load_store_address_low_2[0], register_rs2[0:8],
215 register_rs2[8:16])
216 b0 = register_rs2[0:8]
217
218 self.comb += mi.rw_data_in.eq(Cat(b0, b1, b2, b3))
219
220 # XXX not obvious
221 unmasked_loaded_value = Signal(32)
222
223 b0 = Mux(load_store_address_low_2[1],
224 Mux(load_store_address_low_2[0], mi.rw_data_out[24:32],
225 mi.rw_data_out[16:24]),
226 Mux(load_store_address_low_2[0], mi.rw_data_out[15:8],
227 mi.rw_data_out[0:8]))
228 b1 = Mux(load_store_address_low_2[1], mi.rw_data_out[24:31],
229 mi.rw_data_out[8:16])
230 b23 = mi.rw_data_out[16:32]
231
232 self.comb += unmasked_loaded_value.eq(Cat(b0, b1, b23))
233
234 # XXX not obvious
235 loaded_value = Signal(32)
236
237 b0 = unmasked_loaded_value[0:8]
238 b1 = Mux(dc.funct3[0:2] == 0,
239 Replicate(~dc.funct3[2] & unmasked_loaded_value[7], 8),
240 unmasked_loaded_value[8:16])
241 b2 = Mux(dc.funct3[1] == 0,
242 Replicate(~dc.funct3[2] &
243 Mux(dc.funct3[0], unmasked_loaded_value[15],
244 unmasked_loaded_value[7]),
245 16),
246 unmasked_loaded_value[16:32])
247
248 self.comb += loaded_value.eq(Cat(b0, b1, b2))
249
250 self.comb += mi.rw_active.eq(~self.reset
251 & (fetch_output_st == fetch_output_state_valid)
252 & ~load_store_misaligned
253 & ((dc.act & (DA.load | DA.store)) != 0))
254
255 self.comb += mi.rw_read_not_write.eq(~dc.opcode[5])
256
257 # alu
258 alu_a = Signal(32)
259 alu_b = Signal(32)
260 alu_result = Signal(32)
261
262 self.comb += alu_a.eq(register_rs1)
263 self.comb += alu_b.eq(Mux(dc.opcode[5],
264 register_rs2,
265 dc.immediate))
266
267 if __name__ == "__main__":
268 example = CPU()
269 print(verilog.convert(example,
270 {
271 example.tty_write,
272 example.tty_write_data,
273 example.tty_write_busy,
274 example.switch_2,
275 example.switch_3,
276 example.led_1,
277 example.led_3,
278 }))
279
280 """
281
282 cpu_alu alu(
283 .funct7(decoder_funct7),
284 .funct3(decoder_funct3),
285 .opcode(decoder_opcode),
286 .a(alu_a),
287 .b(alu_b),
288 .result(alu_result)
289 );
290
291 wire [31:0] lui_auipc_result = decoder_opcode[5] ? decoder_immediate : decoder_immediate + fetch_output_pc;
292
293 assign fetch_target_pc[31:1] = ((decoder_opcode != `opcode_jalr ? fetch_output_pc[31:1] : register_rs1[31:1]) + decoder_immediate[31:1]);
294 assign fetch_target_pc[0] = 0;
295
296 wire misaligned_jump_target = fetch_target_pc[1];
297
298 wire [31:0] branch_arg_a = {register_rs1[31] ^ ~decoder_funct3[1], register_rs1[30:0]};
299 wire [31:0] branch_arg_b = {register_rs2[31] ^ ~decoder_funct3[1], register_rs2[30:0]};
300
301 wire branch_taken = decoder_funct3[0] ^ (decoder_funct3[2] ? branch_arg_a < branch_arg_b : branch_arg_a == branch_arg_b);
302
303 reg [31:0] mcause = 0;
304 reg [31:0] mepc = 32'hXXXXXXXX;
305 reg [31:0] mscratch = 32'hXXXXXXXX;
306
307 reg mstatus_mpie = 1'bX;
308 reg mstatus_mie = 0;
309 parameter mstatus_mprv = 0;
310 parameter mstatus_tsr = 0;
311 parameter mstatus_tw = 0;
312 parameter mstatus_tvm = 0;
313 parameter mstatus_mxr = 0;
314 parameter mstatus_sum = 0;
315 parameter mstatus_xs = 0;
316 parameter mstatus_fs = 0;
317 parameter mstatus_mpp = 2'b11;
318 parameter mstatus_spp = 0;
319 parameter mstatus_spie = 0;
320 parameter mstatus_upie = 0;
321 parameter mstatus_sie = 0;
322 parameter mstatus_uie = 0;
323
324 reg mie_meie = 1'bX;
325 reg mie_mtie = 1'bX;
326 reg mie_msie = 1'bX;
327 parameter mie_seie = 0;
328 parameter mie_ueie = 0;
329 parameter mie_stie = 0;
330 parameter mie_utie = 0;
331 parameter mie_ssie = 0;
332 parameter mie_usie = 0;
333
334 task reset_to_initial;
335 begin
336 mcause = 0;
337 mepc = 32'hXXXXXXXX;
338 mscratch = 32'hXXXXXXXX;
339 mstatus_mie = 0;
340 mstatus_mpie = 1'bX;
341 mie_meie = 1'bX;
342 mie_mtie = 1'bX;
343 mie_msie = 1'bX;
344 registers['h01] <= 32'hXXXXXXXX;
345 registers['h02] <= 32'hXXXXXXXX;
346 registers['h03] <= 32'hXXXXXXXX;
347 registers['h04] <= 32'hXXXXXXXX;
348 registers['h05] <= 32'hXXXXXXXX;
349 registers['h06] <= 32'hXXXXXXXX;
350 registers['h07] <= 32'hXXXXXXXX;
351 registers['h08] <= 32'hXXXXXXXX;
352 registers['h09] <= 32'hXXXXXXXX;
353 registers['h0A] <= 32'hXXXXXXXX;
354 registers['h0B] <= 32'hXXXXXXXX;
355 registers['h0C] <= 32'hXXXXXXXX;
356 registers['h0D] <= 32'hXXXXXXXX;
357 registers['h0E] <= 32'hXXXXXXXX;
358 registers['h0F] <= 32'hXXXXXXXX;
359 registers['h10] <= 32'hXXXXXXXX;
360 registers['h11] <= 32'hXXXXXXXX;
361 registers['h12] <= 32'hXXXXXXXX;
362 registers['h13] <= 32'hXXXXXXXX;
363 registers['h14] <= 32'hXXXXXXXX;
364 registers['h15] <= 32'hXXXXXXXX;
365 registers['h16] <= 32'hXXXXXXXX;
366 registers['h17] <= 32'hXXXXXXXX;
367 registers['h18] <= 32'hXXXXXXXX;
368 registers['h19] <= 32'hXXXXXXXX;
369 registers['h1A] <= 32'hXXXXXXXX;
370 registers['h1B] <= 32'hXXXXXXXX;
371 registers['h1C] <= 32'hXXXXXXXX;
372 registers['h1D] <= 32'hXXXXXXXX;
373 registers['h1E] <= 32'hXXXXXXXX;
374 registers['h1F] <= 32'hXXXXXXXX;
375 end
376 endtask
377
378 task write_register(input [4:0] register_number, input [31:0] value);
379 begin
380 if(register_number != 0)
381 registers[register_number] <= value;
382 end
383 endtask
384
385 function [31:0] evaluate_csr_funct3_operation(input [2:0] funct3, input [31:0] previous_value, input [31:0] written_value);
386 begin
387 case(funct3)
388 `funct3_csrrw, `funct3_csrrwi:
389 evaluate_csr_funct3_operation = written_value;
390 `funct3_csrrs, `funct3_csrrsi:
391 evaluate_csr_funct3_operation = written_value | previous_value;
392 `funct3_csrrc, `funct3_csrrci:
393 evaluate_csr_funct3_operation = ~written_value & previous_value;
394 default:
395 evaluate_csr_funct3_operation = 32'hXXXXXXXX;
396 endcase
397 end
398 endfunction
399
400 parameter misa_a = 1'b0;
401 parameter misa_b = 1'b0;
402 parameter misa_c = 1'b0;
403 parameter misa_d = 1'b0;
404 parameter misa_e = 1'b0;
405 parameter misa_f = 1'b0;
406 parameter misa_g = 1'b0;
407 parameter misa_h = 1'b0;
408 parameter misa_i = 1'b1;
409 parameter misa_j = 1'b0;
410 parameter misa_k = 1'b0;
411 parameter misa_l = 1'b0;
412 parameter misa_m = 1'b0;
413 parameter misa_n = 1'b0;
414 parameter misa_o = 1'b0;
415 parameter misa_p = 1'b0;
416 parameter misa_q = 1'b0;
417 parameter misa_r = 1'b0;
418 parameter misa_s = 1'b0;
419 parameter misa_t = 1'b0;
420 parameter misa_u = 1'b0;
421 parameter misa_v = 1'b0;
422 parameter misa_w = 1'b0;
423 parameter misa_x = 1'b0;
424 parameter misa_y = 1'b0;
425 parameter misa_z = 1'b0;
426 parameter misa = {
427 2'b01,
428 4'b0,
429 misa_z,
430 misa_y,
431 misa_x,
432 misa_w,
433 misa_v,
434 misa_u,
435 misa_t,
436 misa_s,
437 misa_r,
438 misa_q,
439 misa_p,
440 misa_o,
441 misa_n,
442 misa_m,
443 misa_l,
444 misa_k,
445 misa_j,
446 misa_i,
447 misa_h,
448 misa_g,
449 misa_f,
450 misa_e,
451 misa_d,
452 misa_c,
453 misa_b,
454 misa_a};
455
456 parameter mvendorid = 32'b0;
457 parameter marchid = 32'b0;
458 parameter mimpid = 32'b0;
459 parameter mhartid = 32'b0;
460
461 function [31:0] make_mstatus(input mstatus_tsr,
462 input mstatus_tw,
463 input mstatus_tvm,
464 input mstatus_mxr,
465 input mstatus_sum,
466 input mstatus_mprv,
467 input [1:0] mstatus_xs,
468 input [1:0] mstatus_fs,
469 input [1:0] mstatus_mpp,
470 input mstatus_spp,
471 input mstatus_mpie,
472 input mstatus_spie,
473 input mstatus_upie,
474 input mstatus_mie,
475 input mstatus_sie,
476 input mstatus_uie);
477 begin
478 make_mstatus = {(mstatus_xs == 2'b11) | (mstatus_fs == 2'b11),
479 8'b0,
480 mstatus_tsr,
481 mstatus_tw,
482 mstatus_tvm,
483 mstatus_mxr,
484 mstatus_sum,
485 mstatus_mprv,
486 mstatus_xs,
487 mstatus_fs,
488 mstatus_mpp,
489 2'b0,
490 mstatus_spp,
491 mstatus_mpie,
492 1'b0,
493 mstatus_spie,
494 mstatus_upie,
495 mstatus_mie,
496 1'b0,
497 mstatus_sie,
498 mstatus_uie};
499 end
500 endfunction
501
502 wire mip_meip = 0; // TODO: implement external interrupts
503 parameter mip_seip = 0;
504 parameter mip_ueip = 0;
505 wire mip_mtip = 0; // TODO: implement timer interrupts
506 parameter mip_stip = 0;
507 parameter mip_utip = 0;
508 parameter mip_msip = 0;
509 parameter mip_ssip = 0;
510 parameter mip_usip = 0;
511
512 wire csr_op_is_valid;
513
514 function `fetch_action get_fetch_action(
515 input `fetch_output_state fetch_output_state,
516 input `decode_action decode_action,
517 input load_store_misaligned,
518 input memory_interface_rw_address_valid,
519 input memory_interface_rw_wait,
520 input branch_taken,
521 input misaligned_jump_target,
522 input csr_op_is_valid
523 );
524 begin
525 case(fetch_output_state)
526 `fetch_output_state_empty:
527 get_fetch_action = `fetch_action_default;
528 `fetch_output_state_trap:
529 get_fetch_action = `fetch_action_ack_trap;
530 `fetch_output_state_valid: begin
531 if((decode_action & `decode_action_trap_illegal_instruction) != 0) begin
532 get_fetch_action = `fetch_action_error_trap;
533 end
534 else if((decode_action & `decode_action_trap_ecall_ebreak) != 0) begin
535 get_fetch_action = `fetch_action_noerror_trap;
536 end
537 else if((decode_action & (`decode_action_load | `decode_action_store)) != 0) begin
538 if(load_store_misaligned | ~memory_interface_rw_address_valid) begin
539 get_fetch_action = `fetch_action_error_trap;
540 end
541 else if(memory_interface_rw_wait) begin
542 get_fetch_action = `fetch_action_wait;
543 end
544 else begin
545 get_fetch_action = `fetch_action_default;
546 end
547 end
548 else if((decode_action & `decode_action_fence_i) != 0) begin
549 get_fetch_action = `fetch_action_fence;
550 end
551 else if((decode_action & `decode_action_branch) != 0) begin
552 if(branch_taken) begin
553 if(misaligned_jump_target) begin
554 get_fetch_action = `fetch_action_error_trap;
555 end
556 else begin
557 get_fetch_action = `fetch_action_jump;
558 end
559 end
560 else
561 begin
562 get_fetch_action = `fetch_action_default;
563 end
564 end
565 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
566 if(misaligned_jump_target) begin
567 get_fetch_action = `fetch_action_error_trap;
568 end
569 else begin
570 get_fetch_action = `fetch_action_jump;
571 end
572 end
573 else if((decode_action & `decode_action_csr) != 0) begin
574 if(csr_op_is_valid)
575 get_fetch_action = `fetch_action_default;
576 else
577 get_fetch_action = `fetch_action_error_trap;
578 end
579 else begin
580 get_fetch_action = `fetch_action_default;
581 end
582 end
583 default:
584 get_fetch_action = 32'hXXXXXXXX;
585 endcase
586 end
587 endfunction
588
589 assign fetch_action = get_fetch_action(
590 fetch_output_state,
591 decode_action,
592 load_store_misaligned,
593 memory_interface_rw_address_valid,
594 memory_interface_rw_wait,
595 branch_taken,
596 misaligned_jump_target,
597 csr_op_is_valid
598 );
599
600 task handle_trap;
601 begin
602 mstatus_mpie = mstatus_mie;
603 mstatus_mie = 0;
604 mepc = (fetch_action == `fetch_action_noerror_trap) ? fetch_output_pc + 4 : fetch_output_pc;
605 if(fetch_action == `fetch_action_ack_trap) begin
606 mcause = `cause_instruction_access_fault;
607 end
608 else if((decode_action & `decode_action_trap_illegal_instruction) != 0) begin
609 mcause = `cause_illegal_instruction;
610 end
611 else if((decode_action & `decode_action_trap_ecall_ebreak) != 0) begin
612 mcause = decoder_immediate[0] ? `cause_machine_environment_call : `cause_breakpoint;
613 end
614 else if((decode_action & `decode_action_load) != 0) begin
615 if(load_store_misaligned)
616 mcause = `cause_load_address_misaligned;
617 else
618 mcause = `cause_load_access_fault;
619 end
620 else if((decode_action & `decode_action_store) != 0) begin
621 if(load_store_misaligned)
622 mcause = `cause_store_amo_address_misaligned;
623 else
624 mcause = `cause_store_amo_access_fault;
625 end
626 else if((decode_action & (`decode_action_branch | `decode_action_jal | `decode_action_jalr)) != 0) begin
627 mcause = `cause_instruction_address_misaligned;
628 end
629 else begin
630 mcause = `cause_illegal_instruction;
631 end
632 end
633 endtask
634
635 wire [11:0] csr_number = decoder_immediate;
636 wire [31:0] csr_input_value = decoder_funct3[2] ? decoder_rs1 : register_rs1;
637 wire csr_reads = decoder_funct3[1] | (decoder_rd != 0);
638 wire csr_writes = ~decoder_funct3[1] | (decoder_rs1 != 0);
639
640 function get_csr_op_is_valid(input [11:0] csr_number, input csr_reads, input csr_writes);
641 begin
642 case(csr_number)
643 `csr_ustatus,
644 `csr_fflags,
645 `csr_frm,
646 `csr_fcsr,
647 `csr_uie,
648 `csr_utvec,
649 `csr_uscratch,
650 `csr_uepc,
651 `csr_ucause,
652 `csr_utval,
653 `csr_uip,
654 `csr_sstatus,
655 `csr_sedeleg,
656 `csr_sideleg,
657 `csr_sie,
658 `csr_stvec,
659 `csr_scounteren,
660 `csr_sscratch,
661 `csr_sepc,
662 `csr_scause,
663 `csr_stval,
664 `csr_sip,
665 `csr_satp,
666 `csr_medeleg,
667 `csr_mideleg,
668 `csr_dcsr,
669 `csr_dpc,
670 `csr_dscratch:
671 get_csr_op_is_valid = 0;
672 `csr_cycle,
673 `csr_time,
674 `csr_instret,
675 `csr_cycleh,
676 `csr_timeh,
677 `csr_instreth,
678 `csr_mvendorid,
679 `csr_marchid,
680 `csr_mimpid,
681 `csr_mhartid:
682 get_csr_op_is_valid = ~csr_writes;
683 `csr_misa,
684 `csr_mstatus,
685 `csr_mie,
686 `csr_mtvec,
687 `csr_mscratch,
688 `csr_mepc,
689 `csr_mcause,
690 `csr_mip:
691 get_csr_op_is_valid = 1;
692 `csr_mcounteren,
693 `csr_mtval,
694 `csr_mcycle,
695 `csr_minstret,
696 `csr_mcycleh,
697 `csr_minstreth:
698 // TODO: CSRs not implemented yet
699 get_csr_op_is_valid = 0;
700 endcase
701 end
702 endfunction
703
704 assign csr_op_is_valid = get_csr_op_is_valid(csr_number, csr_reads, csr_writes);
705
706 wire [63:0] cycle_counter = 0; // TODO: implement cycle_counter
707 wire [63:0] time_counter = 0; // TODO: implement time_counter
708 wire [63:0] instret_counter = 0; // TODO: implement instret_counter
709
710 always @(posedge clk) begin:main_block
711 if(reset) begin
712 reset_to_initial();
713 disable main_block;
714 end
715 case(fetch_output_state)
716 `fetch_output_state_empty: begin
717 end
718 `fetch_output_state_trap: begin
719 handle_trap();
720 end
721 `fetch_output_state_valid: begin:valid
722 if((fetch_action == `fetch_action_error_trap) | (fetch_action == `fetch_action_noerror_trap)) begin
723 handle_trap();
724 end
725 else if((decode_action & `decode_action_load) != 0) begin
726 if(~memory_interface_rw_wait)
727 write_register(decoder_rd, loaded_value);
728 end
729 else if((decode_action & `decode_action_op_op_imm) != 0) begin
730 write_register(decoder_rd, alu_result);
731 end
732 else if((decode_action & `decode_action_lui_auipc) != 0) begin
733 write_register(decoder_rd, lui_auipc_result);
734 end
735 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
736 write_register(decoder_rd, fetch_output_pc + 4);
737 end
738 else if((decode_action & `decode_action_csr) != 0) begin:csr
739 reg [31:0] csr_output_value;
740 reg [31:0] csr_written_value;
741 csr_output_value = 32'hXXXXXXXX;
742 csr_written_value = 32'hXXXXXXXX;
743 case(csr_number)
744 `csr_cycle: begin
745 csr_output_value = cycle_counter[31:0];
746 end
747 `csr_time: begin
748 csr_output_value = time_counter[31:0];
749 end
750 `csr_instret: begin
751 csr_output_value = instret_counter[31:0];
752 end
753 `csr_cycleh: begin
754 csr_output_value = cycle_counter[63:32];
755 end
756 `csr_timeh: begin
757 csr_output_value = time_counter[63:32];
758 end
759 `csr_instreth: begin
760 csr_output_value = instret_counter[63:32];
761 end
762 `csr_mvendorid: begin
763 csr_output_value = mvendorid;
764 end
765 `csr_marchid: begin
766 csr_output_value = marchid;
767 end
768 `csr_mimpid: begin
769 csr_output_value = mimpid;
770 end
771 `csr_mhartid: begin
772 csr_output_value = mhartid;
773 end
774 `csr_misa: begin
775 csr_output_value = misa;
776 end
777 `csr_mstatus: begin
778 csr_output_value = make_mstatus(mstatus_tsr,
779 mstatus_tw,
780 mstatus_tvm,
781 mstatus_mxr,
782 mstatus_sum,
783 mstatus_mprv,
784 mstatus_xs,
785 mstatus_fs,
786 mstatus_mpp,
787 mstatus_spp,
788 mstatus_mpie,
789 mstatus_spie,
790 mstatus_upie,
791 mstatus_mie,
792 mstatus_sie,
793 mstatus_uie);
794 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
795 if(csr_writes) begin
796 mstatus_mpie = csr_written_value[7];
797 mstatus_mie = csr_written_value[3];
798 end
799 end
800 `csr_mie: begin
801 csr_output_value = 0;
802 csr_output_value[11] = mie_meie;
803 csr_output_value[9] = mie_seie;
804 csr_output_value[8] = mie_ueie;
805 csr_output_value[7] = mie_mtie;
806 csr_output_value[5] = mie_stie;
807 csr_output_value[4] = mie_utie;
808 csr_output_value[3] = mie_msie;
809 csr_output_value[1] = mie_ssie;
810 csr_output_value[0] = mie_usie;
811 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
812 if(csr_writes) begin
813 mie_meie = csr_written_value[11];
814 mie_mtie = csr_written_value[7];
815 mie_msie = csr_written_value[3];
816 end
817 end
818 `csr_mtvec: begin
819 csr_output_value = mtvec;
820 end
821 `csr_mscratch: begin
822 csr_output_value = mscratch;
823 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
824 if(csr_writes)
825 mscratch = csr_written_value;
826 end
827 `csr_mepc: begin
828 csr_output_value = mepc;
829 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
830 if(csr_writes)
831 mepc = csr_written_value;
832 end
833 `csr_mcause: begin
834 csr_output_value = mcause;
835 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
836 if(csr_writes)
837 mcause = csr_written_value;
838 end
839 `csr_mip: begin
840 csr_output_value = 0;
841 csr_output_value[11] = mip_meip;
842 csr_output_value[9] = mip_seip;
843 csr_output_value[8] = mip_ueip;
844 csr_output_value[7] = mip_mtip;
845 csr_output_value[5] = mip_stip;
846 csr_output_value[4] = mip_utip;
847 csr_output_value[3] = mip_msip;
848 csr_output_value[1] = mip_ssip;
849 csr_output_value[0] = mip_usip;
850 end
851 endcase
852 if(csr_reads)
853 write_register(decoder_rd, csr_output_value);
854 end
855 else if((decode_action & (`decode_action_fence | `decode_action_fence_i | `decode_action_store | `decode_action_branch)) != 0) begin
856 // do nothing
857 end
858 end
859 endcase
860 end
861
862 endmodule
863 """
864