move stuff to MInfo
[rv32.git] / cpu.py
1 """
2 /*
3 * Copyright 2018 Jacob Lifshay
4 *
5 * Permission is hereby granted, free of charge, to any person obtaining a copy
6 * of this software and associated documentation files (the "Software"), to deal
7 * in the Software without restriction, including without limitation the rights
8 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 * copies of the Software, and to permit persons to whom the Software is
10 * furnished to do so, subject to the following conditions:
11 *
12 * The above copyright notice and this permission notice shall be included in all
13 * copies or substantial portions of the Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 *
23 */
24 `timescale 1ns / 1ps
25 `include "riscv.vh"
26 `include "cpu.vh"
27 """
28
29 import string
30 from migen import *
31 from migen.fhdl import verilog
32 from migen.fhdl.structure import _Operator
33
34 from riscvdefs import *
35 from cpudefs import *
36
37 class MemoryInterface:
38 fetch_address = Signal(32, name="memory_interface_fetch_address") # XXX [2:]
39 fetch_data = Signal(32, name="memory_interface_fetch_data")
40 fetch_valid = Signal(name="memory_interface_fetch_valid")
41 rw_address= Signal(32, name="memory_interface_rw_address") # XXX [2:]
42 rw_byte_mask = Signal(4, name="memory_interface_rw_byte_mask")
43 rw_read_not_write = Signal(name="memory_interface_rw_read_not_write")
44 rw_active = Signal(name="memory_interface_rw_active")
45 rw_data_in = Signal(32, name="memory_interface_rw_data_in")
46 rw_data_out = Signal(32, name="memory_interface_rw_data_out")
47 rw_address_valid = Signal(name="memory_interface_rw_address_valid")
48 rw_wait = Signal(name="memory_interface_rw_wait")
49
50
51 class Decoder:
52 funct7 = Signal(7, name="decoder_funct7")
53 funct3 = Signal(3, name="decoder_funct3")
54 rd = Signal(5, name="decoder_rd")
55 rs1 = Signal(5, name="decoder_rs1")
56 rs2 = Signal(5, name="decoder_rs2")
57 immediate = Signal(32, name="decoder_immediate")
58 opcode = Signal(7, name="decoder_opcode")
59 act = Signal(decode_action, name="decoder_action")
60
61 class MStatus:
62 def __init__(self, comb, sync):
63 self.comb = comb
64 self.sync = sync
65 self.mpie = Signal(name="mstatus_mpie")
66 self.mie = Signal(name="mstatus_mie")
67 self.mprv = Signal(name="mstatus_mprv")
68 self.tsr = Signal(name="mstatus_tsr")
69 self.tw = Signal(name="mstatus_tw")
70 self.tvm = Signal(name="mstatus_tvm")
71 self.mxr = Signal(name="mstatus_mxr")
72 self._sum = Signal(name="mstatus_sum")
73 self.xs = Signal(name="mstatus_xs")
74 self.fs = Signal(name="mstatus_fs")
75 self.mpp = Signal(2, name="mstatus_mpp")
76 self.spp = Signal(name="mstatus_spp")
77 self.spie = Signal(name="mstatus_spie")
78 self.upie = Signal(name="mstatus_upie")
79 self.sie = Signal(name="mstatus_sie")
80 self.uie = Signal(name="mstatus_uie")
81
82 for n in dir(self):
83 if n in ['make', 'mpp', 'comb', 'sync'] or n.startswith("_"):
84 continue
85 self.comb += getattr(self, n).eq(0x0)
86 self.comb += self.mpp.eq(0b11)
87
88 self.sync += self.mie.eq(0)
89 self.sync += self.mpie.eq(0)
90
91 def make(self):
92 return Cat(
93 self.uie, self.sie, Constant(0), self.mie,
94 self.upie, self.spie, Constant(0), self.mpie,
95 self.spp, Constant(0, 2), self.mpp,
96 self.fs, self.xs, self.mprv, self._sum,
97 self.mxr, self.tvm, self.tw, self.tsr,
98 Constant(0, 8),
99 (self.xs == Constant(0b11, 2)) | (self.fs == Constant(0b11, 2))
100 )
101
102
103 class MIE:
104 def __init__(self, comb, sync):
105 self.comb = comb
106 self.sync = sync
107 self.meie = Signal(name="mie_meie")
108 self.mtie = Signal(name="mie_mtie")
109 self.msie = Signal(name="mie_msie")
110 self.ueie = Signal(name="mie_ueie")
111 self.stie = Signal(name="mie_stie")
112 self.utie = Signal(name="mie_utie")
113 self.ssie = Signal(name="mie_ssie")
114 self.usie = Signal(name="mie_usie")
115
116 for n in dir(self):
117 if n in ['make', 'comb', 'sync'] or n.startswith("_"):
118 continue
119 self.comb += getattr(self, n).eq(0x0)
120
121 self.sync += self.meie.eq(0)
122 self.sync += self.mtie.eq(0)
123 self.sync += self.msie.eq(0)
124
125 class MIP:
126 def __init__(self, comb, sync):
127 self.comb = comb
128 self.sync = sync
129 self.meip = Signal(name="mip_meip") # TODO: implement ext interrupts
130 self.seip = Signal(name="mip_seip")
131 self.ueip = Signal(name="mip_uiep")
132 self.mtip = Signal(name="mip_mtip") # TODO: implement timer interrupts
133 self.stip = Signal(name="mip_stip")
134 self.msip = Signal(name="mip_stip")
135 self.utip = Signal(name="mip_utip")
136 self.ssip = Signal(name="mip_ssip")
137 self.usip = Signal(name="mip_usip")
138
139 for n in dir(self):
140 if n in ['comb', 'sync'] or n.startswith("_"):
141 continue
142 self.comb += getattr(self, n).eq(0x0)
143
144
145 class M:
146 def __init__(self, comb, sync):
147 self.comb = comb
148 self.sync = sync
149 self.mcause = Signal(32)
150 self.mepc = Signal(32)
151 self.mscratch = Signal(32)
152 self.sync += self.mcause.eq(0)
153 self.sync += self.mepc.eq(0) # 32'hXXXXXXXX;
154 self.sync += self.mscratch.eq(0) # 32'hXXXXXXXX;
155
156
157 class Misa:
158 def __init__(self, comb, sync):
159 self.comb = comb
160 self.sync = sync
161 self.misa = Signal(32)
162 cl = []
163 for l in list(string.ascii_lowercase):
164 value = 1 if l == 'i' else 0
165 cl.append(Constant(value))
166 cl.append(Constant(0, 4))
167 cl.append(Constant(0b01, 2))
168 self.comb += self.misa.eq(Cat(cl))
169
170
171 class Fetch:
172 def __init__(self, comb, sync):
173 self.comb = comb
174 self.sync = sync
175 self.action = Signal(fetch_action, name="fetch_action")
176 self.target_pc = Signal(32, name="fetch_target_pc")
177 self.output_pc = Signal(32, name="fetch_output_pc")
178 self.output_instruction = Signal(32, name="fetch_ouutput_instruction")
179 self.output_state = Signal(fetch_output_state,name="fetch_output_state")
180
181 def get_fetch_action(self, dc, load_store_misaligned, mi,
182 branch_taken, misaligned_jump_target,
183 csr_op_is_valid):
184 c = {}
185 c["default"] = self.action.eq(FA.default) # XXX should be 32'XXXXXXXX?
186 c[FOS.empty] = self.action.eq(FA.default)
187 c[FOS.trap] = self.action.eq(FA.ack_trap)
188
189 # illegal instruction -> error trap
190 i= If((dc.act & DA.trap_illegal_instruction) != 0,
191 self.action.eq(FA.error_trap)
192 )
193
194 # ecall / ebreak -> noerror trap
195 i = i.Elif((dc.act & DA.trap_ecall_ebreak) != 0,
196 self.action.eq(FA.noerror_trap))
197
198 # load/store: check alignment, check wait
199 i = i.Elif((dc.act & (DA.load | DA.store)) != 0,
200 If((load_store_misaligned | ~mi.rw_address_valid),
201 self.action.eq(FA.error_trap) # misaligned or invalid addr
202 ).Elif(mi.rw_wait,
203 self.action.eq(FA.wait) # wait
204 ).Else(
205 self.action.eq(FA.default) # ok
206 )
207 )
208
209 # fence
210 i = i.Elif((dc.act & DA.fence) != 0,
211 self.action.eq(FA.fence))
212
213 # branch -> misaligned=error, otherwise jump
214 i = i.Elif((dc.act & DA.branch) != 0,
215 If(misaligned_jump_target,
216 self.action.eq(FA.error_trap)
217 ).Else(
218 self.action.eq(FA.jump)
219 )
220 )
221
222 # jal/jalr -> misaligned=error, otherwise jump
223 i = i.Elif((dc.act & (DA.jal | DA.jalr)) != 0,
224 If(misaligned_jump_target,
225 self.action.eq(FA.error_trap)
226 ).Else(
227 self.action.eq(FA.jump)
228 )
229 )
230
231 # csr -> opvalid=ok, else error trap
232 i = i.Elif((dc.act & DA.csr) != 0,
233 If(csr_op_is_valid,
234 self.action.eq(FA.default)
235 ).Else(
236 self.action.eq(FA.error_trap)
237 )
238 )
239
240 c[FOS.valid] = i
241
242 return Case(self.output_state, c)
243
244 class CSR:
245 def __init__(self, comb, sync, dc, register_rs1):
246 self.comb = comb
247 self.sync = sync
248 self.number = Signal(12, name="csr_number")
249 self.input_value = Signal(32, name="csr_input_value")
250 self.reads = Signal(name="csr_reads")
251 self.writes = Signal(name="csr_writes")
252 self.op_is_valid = Signal(name="csr_op_is_valid")
253
254 self.comb += self.number.eq(dc.immediate)
255 self.comb += self.input_value.eq(Mux(dc.funct3[2],
256 dc.rs1,
257 register_rs1))
258 self.comb += self.reads.eq(dc.funct3[1] | (dc.rd != 0))
259 self.comb += self.writes.eq(~dc.funct3[1] | (dc.rs1 != 0))
260
261 self.comb += self.get_csr_op_is_valid()
262
263 def get_csr_op_is_valid(self):
264 """ determines if a CSR is valid
265 """
266 c = {}
267 # invalid csrs
268 for f in [csr_ustatus, csr_fflags, csr_frm, csr_fcsr,
269 csr_uie, csr_utvec, csr_uscratch, csr_uepc,
270 csr_ucause, csr_utval, csr_uip, csr_sstatus,
271 csr_sedeleg, csr_sideleg, csr_sie, csr_stvec,
272 csr_scounteren, csr_sscratch, csr_sepc, csr_scause,
273 csr_stval, csr_sip, csr_satp, csr_medeleg,
274 csr_mideleg, csr_dcsr, csr_dpc, csr_dscratch]:
275 c[f] = self.op_is_valid.eq(0)
276
277 # not-writeable -> ok
278 for f in [csr_cycle, csr_time, csr_instret, csr_cycleh,
279 csr_timeh, csr_instreth, csr_mvendorid, csr_marchid,
280 csr_mimpid, csr_mhartid]:
281 c[f] = self.op_is_valid.eq(~self.writes)
282
283 # valid csrs
284 for f in [csr_misa, csr_mstatus, csr_mie, csr_mtvec,
285 csr_mscratch, csr_mepc, csr_mcause, csr_mip]:
286 c[f] = self.op_is_valid.eq(1)
287
288 # not implemented / default
289 for f in [csr_mcounteren, csr_mtval, csr_mcycle, csr_minstret,
290 csr_mcycleh, csr_minstreth, "default"]:
291 c[f] = self.op_is_valid.eq(0)
292
293 return Case(self.number, c)
294
295 def evaluate_csr_funct3_op(self, funct3, previous_value, written_value):
296 c = { "default": Constant(0, 32)}
297 for f in [F3.csrrw, F3.csrrwi]: c[f] = written_value
298 for f in [F3.csrrs, F3.csrrsi]: c[f] = written_value | previous_value
299 for f in [F3.csrrc, F3.csrrci]: c[f] = ~written_value & previous_value
300 return Case(funct3, c)
301
302
303 class MInfo:
304 def __init__(self, comb):
305 self.comb = comb
306 # TODO
307 self.cycle_counter = Signal(64); # TODO: implement cycle_counter
308 self.time_counter = Signal(64); # TODO: implement time_counter
309 self.instret_counter = Signal(64); # TODO: implement instret_counter
310
311 self.mvendorid = Signal(32)
312 self.marchid = Signal(32)
313 self.mimpid = Signal(32)
314 self.mhartid = Signal(32)
315 self.comb += self.mvendorid.eq(Constant(0, 32))
316 self.comb += self.marchid.eq(Constant(0, 32))
317 self.comb += self.mimpid.eq(Constant(0, 32))
318 self.comb += self.mhartid.eq(Constant(0, 32))
319
320
321 class CPU(Module):
322 """
323 """
324
325 def get_ls_misaligned(self, ls, funct3, load_store_address_low_2):
326 """ returns whether a load/store is misaligned
327 """
328 return Case(funct3[:2],
329 { F3.sb: ls.eq(Constant(0)),
330 F3.sh: ls.eq(load_store_address_low_2[0] != 0),
331 F3.sw: ls.eq(load_store_address_low_2[0:2] != Constant(0, 2)),
332 "default": ls.eq(Constant(1))
333 })
334
335 def get_lsbm(self, dc):
336 return Cat(Constant(1),
337 Mux((dc.funct3[1] | dc.funct3[0]),
338 Constant(1), Constant(0)),
339 Mux((dc.funct3[1]),
340 Constant(0b11, 2), Constant(0, 2)))
341
342 # XXX this happens to get done by various self.sync actions
343 #def reset_to_initial(self, m, mstatus, mie, registers):
344 # return [m.mcause.eq(0),
345 # ]
346
347 def write_register(self, register_number, value):
348 return If(register_number != 0,
349 self.registers[register_number].eq(value)
350 )
351
352 def handle_trap(self, m, ms, ft, dc, load_store_misaligned):
353 s = [ms.mpie.eq(ms.mie),
354 ms.mie.eq(0),
355 m.mepc.eq(Mux(ft.action == FA.noerror_trap,
356 ft.output_pc + 4,
357 ft.output_pc))]
358
359 # fetch action ack trap
360 i = If(ft.action == FA.ack_trap,
361 m.mcause.eq(cause_instruction_access_fault)
362 )
363
364 # ecall/ebreak
365 i = i.Elif((dc.act & DA.trap_ecall_ebreak) != 0,
366 m.mcause.eq(Mux(dc.immediate[0],
367 cause_machine_environment_call,
368 cause_breakpoint))
369 )
370
371 # load
372 i = i.Elif((dc.act & DA.load) != 0,
373 If(load_store_misaligned,
374 m.mcause.eq(cause_load_address_misaligned)
375 ).Else(
376 m.mcause.eq(cause_load_access_fault)
377 )
378 )
379
380 # store
381 i = i.Elif((dc.act & DA.store) != 0,
382 If(load_store_misaligned,
383 m.mcause.eq(cause_store_amo_address_misaligned)
384 ).Else(
385 m.mcause.eq(cause_store_amo_access_fault)
386 )
387 )
388
389 # jal/jalr -> misaligned=error, otherwise jump
390 i = i.Elif((dc.act & (DA.jal | DA.jalr | DA.branch)) != 0,
391 m.mcause.eq(cause_instruction_address_misaligned)
392 )
393
394 # defaults to illegal instruction
395 i = i.Else(m.mcause.eq(cause_illegal_instruction))
396
397 s.append(i)
398 return s
399
400 def main_block(self, minfo, csr, mi, m, mstatus, ft, dc,
401 load_store_misaligned,
402 loaded_value, alu_result,
403 lui_auipc_result):
404 c = {}
405 c[FOS.empty] = []
406 c[FOS.trap] = self.handle_trap(m, mstatus, ft, dc,
407 load_store_misaligned)
408 c[FOS.valid] = self.handle_valid(minfo, csr, mi, m, mstatus, ft, dc,
409 load_store_misaligned,
410 loaded_value,
411 alu_result,
412 lui_auipc_result)
413 return Case(ft.output_state, c)
414
415 def handle_valid(self, minfo, csr, mi, m, mstatus, ft, dc,
416 load_store_misaligned,
417 loaded_value, alu_result,
418 lui_auipc_result):
419 # fetch action ack trap
420 i = If((ft.action == FA.ack_trap) | (ft.action == FA.noerror_trap),
421 self.handle_trap(m, mstatus, ft, dc,
422 load_store_misaligned)
423 )
424
425 # load
426 i = i.Elif((dc.act & DA.load) != 0,
427 If(~mi.rw_wait,
428 self.write_register(dc.rd, loaded_value)
429 )
430 )
431
432 # op or op_immediate
433 i = i.Elif((dc.act & DA.op_op_imm) != 0,
434 self.write_register(dc.rd, alu_result)
435 )
436
437 # lui or auipc
438 i = i.Elif((dc.act & DA.lui_auipc) != 0,
439 self.write_register(dc.rd, lui_auipc_result)
440 )
441
442 # jal/jalr
443 i = i.Elif((dc.act & (DA.jal | DA.jalr)) != 0,
444 self.write_register(dc.rd, ft.output_pc + 4)
445 )
446
447 i = i.Elif((dc.act & DA.csr) != 0,
448 self.handle_csr(minfo, mstatus, dc, csr)
449 )
450
451 # fence, store, branch
452 i = i.Elif((dc.act & (DA.fence | DA.fence_i |
453 DA.store | DA.branch)) != 0,
454 # do nothing
455 )
456
457 return i
458
459 def handle_csr(self, minfo, mstatus, dc, csr):
460 csr_output_value = Signal()
461 csr_written_value = Signal()
462 c = {}
463
464 return Case(csr.number, c)
465
466 """
467 reg [31:0] csr_output_value;
468 reg [31:0] csr_written_value;
469 csr_output_value = 32'hXXXXXXXX;
470 csr_written_value = 32'hXXXXXXXX;
471 case(csr_number)
472 `csr_cycle: begin
473 csr_output_value = cycle_counter[31:0];
474 end
475 `csr_time: begin
476 csr_output_value = time_counter[31:0];
477 end
478 `csr_instret: begin
479 csr_output_value = instret_counter[31:0];
480 end
481 `csr_cycleh: begin
482 csr_output_value = cycle_counter[63:32];
483 end
484 `csr_timeh: begin
485 csr_output_value = time_counter[63:32];
486 end
487 `csr_instreth: begin
488 csr_output_value = instret_counter[63:32];
489 end
490 `csr_mvendorid: begin
491 csr_output_value = mvendorid;
492 end
493 `csr_marchid: begin
494 csr_output_value = marchid;
495 end
496 `csr_mimpid: begin
497 csr_output_value = mimpid;
498 end
499 `csr_mhartid: begin
500 csr_output_value = mhartid;
501 end
502 `csr_misa: begin
503 csr_output_value = misa;
504 end
505 `csr_mstatus: begin
506 csr_output_value = make_mstatus(mstatus_tsr,
507 mstatus_tw,
508 mstatus_tvm,
509 mstatus_mxr,
510 mstatus_sum,
511 mstatus_mprv,
512 mstatus_xs,
513 mstatus_fs,
514 mstatus_mpp,
515 mstatus_spp,
516 mstatus_mpie,
517 mstatus_spie,
518 mstatus_upie,
519 mstatus_mie,
520 mstatus_sie,
521 mstatus_uie);
522 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
523 if(csr_writes) begin
524 mstatus_mpie = csr_written_value[7];
525 mstatus_mie = csr_written_value[3];
526 end
527 end
528 `csr_mie: begin
529 csr_output_value = 0;
530 csr_output_value[11] = mie_meie;
531 csr_output_value[9] = mie_seie;
532 csr_output_value[8] = mie_ueie;
533 csr_output_value[7] = mie_mtie;
534 csr_output_value[5] = mie_stie;
535 csr_output_value[4] = mie_utie;
536 csr_output_value[3] = mie_msie;
537 csr_output_value[1] = mie_ssie;
538 csr_output_value[0] = mie_usie;
539 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
540 if(csr_writes) begin
541 mie_meie = csr_written_value[11];
542 mie_mtie = csr_written_value[7];
543 mie_msie = csr_written_value[3];
544 end
545 end
546 `csr_mtvec: begin
547 csr_output_value = mtvec;
548 end
549 `csr_mscratch: begin
550 csr_output_value = mscratch;
551 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
552 if(csr_writes)
553 mscratch = csr_written_value;
554 end
555 `csr_mepc: begin
556 csr_output_value = mepc;
557 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
558 if(csr_writes)
559 mepc = csr_written_value;
560 end
561 `csr_mcause: begin
562 csr_output_value = mcause;
563 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
564 if(csr_writes)
565 mcause = csr_written_value;
566 end
567 `csr_mip: begin
568 csr_output_value = 0;
569 csr_output_value[11] = mip_meip;
570 csr_output_value[9] = mip_seip;
571 csr_output_value[8] = mip_ueip;
572 csr_output_value[7] = mip_mtip;
573 csr_output_value[5] = mip_stip;
574 csr_output_value[4] = mip_utip;
575 csr_output_value[3] = mip_msip;
576 csr_output_value[1] = mip_ssip;
577 csr_output_value[0] = mip_usip;
578 end
579 endcase
580 if(csr_reads)
581 write_register(decoder_rd, csr_output_value);
582 end
583 end
584 endcase
585 end
586 """
587 def __init__(self):
588 self.clk = ClockSignal()
589 self.reset = ResetSignal()
590 self.tty_write = Signal()
591 self.tty_write_data = Signal(8)
592 self.tty_write_busy = Signal()
593 self.switch_2 = Signal()
594 self.switch_3 = Signal()
595 self.led_1 = Signal()
596 self.led_3 = Signal()
597
598 ram_size = Constant(0x8000)
599 ram_start = Constant(0x10000, 32)
600 reset_vector = Signal(32)
601 mtvec = Signal(32)
602
603 reset_vector.eq(ram_start)
604 mtvec.eq(ram_start + 0x40)
605
606 l = []
607 for i in range(31):
608 r = Signal(32, name="register%d" % i)
609 l.append(r)
610 self.sync += r.eq(Constant(0, 32))
611 self.registers = Array(l)
612
613 mi = MemoryInterface()
614
615 mii = Instance("cpu_memory_interface", name="memory_instance",
616 p_ram_size = ram_size,
617 p_ram_start = ram_start,
618 i_clk=ClockSignal(),
619 i_rst=ResetSignal(),
620 i_fetch_address = mi.fetch_address,
621 o_fetch_data = mi.fetch_data,
622 o_fetch_valid = mi.fetch_valid,
623 i_rw_address = mi.rw_address,
624 i_rw_byte_mask = mi.rw_byte_mask,
625 i_rw_read_not_write = mi.rw_read_not_write,
626 i_rw_active = mi.rw_active,
627 i_rw_data_in = mi.rw_data_in,
628 o_rw_data_out = mi.rw_data_out,
629 o_rw_address_valid = mi.rw_address_valid,
630 o_rw_wait = mi.rw_wait,
631 o_tty_write = self.tty_write,
632 o_tty_write_data = self.tty_write_data,
633 i_tty_write_busy = self.tty_write_busy,
634 i_switch_2 = self.switch_2,
635 i_switch_3 = self.switch_3,
636 o_led_1 = self.led_1,
637 o_led_3 = self.led_3
638 )
639 self.specials += mii
640
641 ft = Fetch(self.comb, self.sync)
642
643 fs = Instance("CPUFetchStage", name="fetch_stage",
644 i_clk=ClockSignal(),
645 i_rst=ResetSignal(),
646 o_memory_interface_fetch_address = mi.fetch_address,
647 i_memory_interface_fetch_data = mi.fetch_data,
648 i_memory_interface_fetch_valid = mi.fetch_valid,
649 i_fetch_action = ft.action,
650 i_target_pc = ft.target_pc,
651 o_output_pc = ft.output_pc,
652 o_output_instruction = ft.output_instruction,
653 o_output_state = ft.output_state,
654 i_reset_vector = reset_vector,
655 i_mtvec = mtvec,
656 )
657 self.specials += fs
658
659 dc = Decoder()
660
661 cd = Instance("CPUDecoder", name="decoder",
662 i_instruction = ft.output_instruction,
663 o_funct7 = dc.funct7,
664 o_funct3 = dc.funct3,
665 o_rd = dc.rd,
666 o_rs1 = dc.rs1,
667 o_rs2 = dc.rs2,
668 o_immediate = dc.immediate,
669 o_opcode = dc.opcode,
670 o_decode_action = dc.act
671 )
672 self.specials += cd
673
674 register_rs1 = Signal(32)
675 register_rs2 = Signal(32)
676 self.comb += If(dc.rs1 == 0,
677 register_rs1.eq(0)
678 ).Else(
679 register_rs1.eq(self.registers[dc.rs1-1]))
680 self.comb += If(dc.rs2 == 0,
681 register_rs2.eq(0)
682 ).Else(
683 register_rs2.eq(self.registers[dc.rs2-1]))
684
685 load_store_address = Signal(32)
686 load_store_address_low_2 = Signal(2)
687
688 self.comb += load_store_address.eq(dc.immediate + register_rs1)
689 self.comb += load_store_address_low_2.eq(
690 dc.immediate[:2] + register_rs1[:2])
691
692 load_store_misaligned = Signal()
693
694 lsa = self.get_ls_misaligned(load_store_misaligned, dc.funct3,
695 load_store_address_low_2)
696 self.comb += lsa
697
698 # XXX rwaddr not 31:2 any more
699 self.comb += mi.rw_address.eq(load_store_address[2:])
700
701 unshifted_load_store_byte_mask = Signal(4)
702
703 self.comb += unshifted_load_store_byte_mask.eq(self.get_lsbm(dc))
704
705 # XXX yuck. this will cause migen simulation to fail
706 # (however conversion to verilog works)
707 self.comb += mi.rw_byte_mask.eq(
708 _Operator("<<", [unshifted_load_store_byte_mask,
709 load_store_address_low_2]))
710
711 # XXX not obvious
712 b3 = Mux(load_store_address_low_2[1],
713 Mux(load_store_address_low_2[0], register_rs2[0:8],
714 register_rs2[8:16]),
715 Mux(load_store_address_low_2[0], register_rs2[16:24],
716 register_rs2[24:32]))
717 b2 = Mux(load_store_address_low_2[1], register_rs2[0:8],
718 register_rs2[16:24])
719 b1 = Mux(load_store_address_low_2[0], register_rs2[0:8],
720 register_rs2[8:16])
721 b0 = register_rs2[0:8]
722
723 self.comb += mi.rw_data_in.eq(Cat(b0, b1, b2, b3))
724
725 # XXX not obvious
726 unmasked_loaded_value = Signal(32)
727
728 b0 = Mux(load_store_address_low_2[1],
729 Mux(load_store_address_low_2[0], mi.rw_data_out[24:32],
730 mi.rw_data_out[16:24]),
731 Mux(load_store_address_low_2[0], mi.rw_data_out[15:8],
732 mi.rw_data_out[0:8]))
733 b1 = Mux(load_store_address_low_2[1], mi.rw_data_out[24:31],
734 mi.rw_data_out[8:16])
735 b23 = mi.rw_data_out[16:32]
736
737 self.comb += unmasked_loaded_value.eq(Cat(b0, b1, b23))
738
739 # XXX not obvious
740 loaded_value = Signal(32)
741
742 b0 = unmasked_loaded_value[0:8]
743 b1 = Mux(dc.funct3[0:2] == 0,
744 Replicate(~dc.funct3[2] & unmasked_loaded_value[7], 8),
745 unmasked_loaded_value[8:16])
746 b2 = Mux(dc.funct3[1] == 0,
747 Replicate(~dc.funct3[2] &
748 Mux(dc.funct3[0], unmasked_loaded_value[15],
749 unmasked_loaded_value[7]),
750 16),
751 unmasked_loaded_value[16:32])
752
753 self.comb += loaded_value.eq(Cat(b0, b1, b2))
754
755 self.comb += mi.rw_active.eq(~self.reset
756 & (ft.output_state == FOS.valid)
757 & ~load_store_misaligned
758 & ((dc.act & (DA.load | DA.store)) != 0))
759
760 self.comb += mi.rw_read_not_write.eq(~dc.opcode[5])
761
762 # alu
763 alu_a = Signal(32)
764 alu_b = Signal(32)
765 alu_result = Signal(32)
766
767 self.comb += alu_a.eq(register_rs1)
768 self.comb += alu_b.eq(Mux(dc.opcode[5],
769 register_rs2,
770 dc.immediate))
771
772 ali = Instance("cpu_alu", name="alu",
773 i_funct7 = dc.funct7,
774 i_funct3 = dc.funct3,
775 i_opcode = dc.opcode,
776 i_a = alu_a,
777 i_b = alu_b,
778 o_result = alu_result
779 )
780 self.specials += ali
781
782 lui_auipc_result = Signal(32)
783 self.comb += lui_auipc_result.eq(Mux(dc.opcode[5],
784 dc.immediate,
785 dc.immediate + ft.output_pc))
786
787 self.comb += ft.target_pc.eq(Cat(0,
788 Mux(dc.opcode != OP.jalr,
789 ft.output_pc[1:32],
790 register_rs1[1:32] + dc.immediate[1:32])))
791
792 misaligned_jump_target = Signal()
793 self.comb += misaligned_jump_target.eq(ft.target_pc[1])
794
795 branch_arg_a = Signal(32)
796 branch_arg_b = Signal(32)
797 self.comb += branch_arg_a.eq(Cat( register_rs1[0:31],
798 register_rs1[31] ^ ~dc.funct3[1]))
799 self.comb += branch_arg_b.eq(Cat( register_rs2[0:31],
800 register_rs2[31] ^ ~dc.funct3[1]))
801
802 branch_taken = Signal()
803 self.comb += branch_taken.eq(dc.funct3[0] ^
804 Mux(dc.funct3[2],
805 branch_arg_a < branch_arg_b,
806 branch_arg_a == branch_arg_b))
807
808 m = M(self.comb, self.sync)
809 mstatus = MStatus(self.comb, self.sync)
810 mie = MIE(self.comb, self.sync)
811 misa = Misa(self.comb, self.sync)
812 mip = MIP(self.comb, self.sync)
813
814 # CSR decoding
815 csr = CSR(self.comb, self.sync, dc, register_rs1)
816
817 self.comb += ft.get_fetch_action(dc, load_store_misaligned, mi,
818 branch_taken, misaligned_jump_target,
819 csr.op_is_valid)
820
821 minfo = MInfo(self.comb)
822
823 self.sync += If(~self.reset,
824 self.main_block(minfo, csr, mi, m, mstatus, ft, dc,
825 load_store_misaligned,
826 loaded_value,
827 alu_result,
828 lui_auipc_result)
829 )
830
831 if __name__ == "__main__":
832 example = CPU()
833 print(verilog.convert(example,
834 {
835 example.tty_write,
836 example.tty_write_data,
837 example.tty_write_busy,
838 example.switch_2,
839 example.switch_3,
840 example.led_1,
841 example.led_3,
842 }))
843
844 """
845
846 always @(posedge clk) begin:main_block
847 if(reset) begin
848 reset_to_initial();
849 disable main_block;
850 end
851 case(fetch_output_state)
852 `fetch_output_state_empty: begin
853 end
854 `fetch_output_state_trap: begin
855 handle_trap();
856 end
857 `fetch_output_state_valid: begin:valid
858 if((fetch_action == `fetch_action_error_trap) | (fetch_action == `fetch_action_noerror_trap)) begin
859 handle_trap();
860 end
861 else if((decode_action & `decode_action_load) != 0) begin
862 if(~memory_interface_rw_wait)
863 write_register(decoder_rd, loaded_value);
864 end
865 else if((decode_action & `decode_action_op_op_imm) != 0) begin
866 write_register(decoder_rd, alu_result);
867 end
868 else if((decode_action & `decode_action_lui_auipc) != 0) begin
869 write_register(decoder_rd, lui_auipc_result);
870 end
871 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
872 write_register(decoder_rd, fetch_output_pc + 4);
873 end
874 else if((decode_action & `decode_action_csr) != 0) begin:csr
875 reg [31:0] csr_output_value;
876 reg [31:0] csr_written_value;
877 csr_output_value = 32'hXXXXXXXX;
878 csr_written_value = 32'hXXXXXXXX;
879 case(csr_number)
880 `csr_cycle: begin
881 csr_output_value = cycle_counter[31:0];
882 end
883 `csr_time: begin
884 csr_output_value = time_counter[31:0];
885 end
886 `csr_instret: begin
887 csr_output_value = instret_counter[31:0];
888 end
889 `csr_cycleh: begin
890 csr_output_value = cycle_counter[63:32];
891 end
892 `csr_timeh: begin
893 csr_output_value = time_counter[63:32];
894 end
895 `csr_instreth: begin
896 csr_output_value = instret_counter[63:32];
897 end
898 `csr_mvendorid: begin
899 csr_output_value = mvendorid;
900 end
901 `csr_marchid: begin
902 csr_output_value = marchid;
903 end
904 `csr_mimpid: begin
905 csr_output_value = mimpid;
906 end
907 `csr_mhartid: begin
908 csr_output_value = mhartid;
909 end
910 `csr_misa: begin
911 csr_output_value = misa;
912 end
913 `csr_mstatus: begin
914 csr_output_value = make_mstatus(mstatus_tsr,
915 mstatus_tw,
916 mstatus_tvm,
917 mstatus_mxr,
918 mstatus_sum,
919 mstatus_mprv,
920 mstatus_xs,
921 mstatus_fs,
922 mstatus_mpp,
923 mstatus_spp,
924 mstatus_mpie,
925 mstatus_spie,
926 mstatus_upie,
927 mstatus_mie,
928 mstatus_sie,
929 mstatus_uie);
930 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
931 if(csr_writes) begin
932 mstatus_mpie = csr_written_value[7];
933 mstatus_mie = csr_written_value[3];
934 end
935 end
936 `csr_mie: begin
937 csr_output_value = 0;
938 csr_output_value[11] = mie_meie;
939 csr_output_value[9] = mie_seie;
940 csr_output_value[8] = mie_ueie;
941 csr_output_value[7] = mie_mtie;
942 csr_output_value[5] = mie_stie;
943 csr_output_value[4] = mie_utie;
944 csr_output_value[3] = mie_msie;
945 csr_output_value[1] = mie_ssie;
946 csr_output_value[0] = mie_usie;
947 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
948 if(csr_writes) begin
949 mie_meie = csr_written_value[11];
950 mie_mtie = csr_written_value[7];
951 mie_msie = csr_written_value[3];
952 end
953 end
954 `csr_mtvec: begin
955 csr_output_value = mtvec;
956 end
957 `csr_mscratch: begin
958 csr_output_value = mscratch;
959 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
960 if(csr_writes)
961 mscratch = csr_written_value;
962 end
963 `csr_mepc: begin
964 csr_output_value = mepc;
965 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
966 if(csr_writes)
967 mepc = csr_written_value;
968 end
969 `csr_mcause: begin
970 csr_output_value = mcause;
971 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
972 if(csr_writes)
973 mcause = csr_written_value;
974 end
975 `csr_mip: begin
976 csr_output_value = 0;
977 csr_output_value[11] = mip_meip;
978 csr_output_value[9] = mip_seip;
979 csr_output_value[8] = mip_ueip;
980 csr_output_value[7] = mip_mtip;
981 csr_output_value[5] = mip_stip;
982 csr_output_value[4] = mip_utip;
983 csr_output_value[3] = mip_msip;
984 csr_output_value[1] = mip_ssip;
985 csr_output_value[0] = mip_usip;
986 end
987 endcase
988 if(csr_reads)
989 write_register(decoder_rd, csr_output_value);
990 end
991 else if((decode_action & (`decode_action_fence | `decode_action_fence_i | `decode_action_store | `decode_action_branch)) != 0) begin
992 // do nothing
993 end
994 end
995 endcase
996 end
997
998 endmodule
999 """
1000