load value
[rv32.git] / cpu.py
1 """
2 /*
3 * Copyright 2018 Jacob Lifshay
4 *
5 * Permission is hereby granted, free of charge, to any person obtaining a copy
6 * of this software and associated documentation files (the "Software"), to deal
7 * in the Software without restriction, including without limitation the rights
8 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 * copies of the Software, and to permit persons to whom the Software is
10 * furnished to do so, subject to the following conditions:
11 *
12 * The above copyright notice and this permission notice shall be included in all
13 * copies or substantial portions of the Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 *
23 */
24 `timescale 1ns / 1ps
25 `include "riscv.vh"
26 `include "cpu.vh"
27 """
28
29 from migen import *
30 from migen.fhdl import verilog
31 from migen.fhdl.structure import _Operator
32
33 from riscvdefs import *
34 from cpudefs import *
35
36 class MemoryInterface:
37 fetch_address = Signal(32, name="memory_interface_fetch_address") # XXX [2:]
38 fetch_data = Signal(32, name="memory_interface_fetch_data")
39 fetch_valid = Signal(name="memory_interface_fetch_valid")
40 rw_address= Signal(32, name="memory_interface_rw_address") # XXX [2:]
41 rw_byte_mask = Signal(4, name="memory_interface_rw_byte_mask")
42 rw_read_not_write = Signal(name="memory_interface_rw_read_not_write")
43 rw_active = Signal(name="memory_interface_rw_active")
44 rw_data_in = Signal(32, name="memory_interface_rw_data_in")
45 rw_data_out = Signal(32, name="memory_interface_rw_data_out")
46 rw_address_valid = Signal(name="memory_interface_rw_address_valid")
47 rw_wait = Signal(name="memory_interface_rw_wait")
48
49
50 class CPU(Module):
51 """
52 """
53
54 def get_ls_misaligned(self, ls, funct3, load_store_address_low_2):
55 return Case(funct3[:2],
56 { F3.sb: ls.eq(Constant(0)),
57 F3.sh: ls.eq(load_store_address_low_2[0] != 0),
58 F3.sw: ls.eq(load_store_address_low_2[0:2] != Constant(0, 2)),
59 "default": ls.eq(Constant(1))
60 })
61
62 def get_lsbm(self, decoder_funct3):
63 return Cat(Constant(1),
64 Mux((decoder_funct3[1] | decoder_funct3[0]),
65 Constant(1), Constant(0)),
66 Mux((decoder_funct3[1]),
67 Constant(0b11, 2), Constant(0, 2)))
68
69 def __init__(self):
70 #self.clk = ClockSignal()
71 #self.reset = ResetSignal()
72 self.tty_write = Signal()
73 self.tty_write_data = Signal(8)
74 self.tty_write_busy = Signal()
75 self.switch_2 = Signal()
76 self.switch_3 = Signal()
77 self.led_1 = Signal()
78 self.led_3 = Signal()
79
80 ram_size = Constant(0x8000)
81 ram_start = Constant(0x10000, 32)
82 reset_vector = Signal(32)
83 mtvec = Signal(32)
84
85 reset_vector.eq(ram_start)
86 mtvec.eq(ram_start + 0x40)
87
88 l = []
89 for i in range(31):
90 l.append(Signal(32, name="register%d" % i))
91 registers = Array(l)
92
93 mi = MemoryInterface()
94
95 mii = Instance("cpu_memory_interface", name="memory_instance",
96 p_ram_size = ram_size,
97 p_ram_start = ram_start,
98 i_clk=ClockSignal(),
99 i_rst=ResetSignal(),
100 i_fetch_address = mi.fetch_address,
101 o_fetch_data = mi.fetch_data,
102 o_fetch_valid = mi.fetch_valid,
103 i_rw_address = mi.rw_address,
104 i_rw_byte_mask = mi.rw_byte_mask,
105 i_rw_read_not_write = mi.rw_read_not_write,
106 i_rw_active = mi.rw_active,
107 i_rw_data_in = mi.rw_data_in,
108 o_rw_data_out = mi.rw_data_out,
109 o_rw_address_valid = mi.rw_address_valid,
110 o_rw_wait = mi.rw_wait,
111 o_tty_write = self.tty_write,
112 o_tty_write_data = self.tty_write_data,
113 i_tty_write_busy = self.tty_write_busy,
114 i_switch_2 = self.switch_2,
115 i_switch_3 = self.switch_3,
116 o_led_1 = self.led_1,
117 o_led_3 = self.led_3
118 )
119 self.specials += mii
120
121 fetch_act = Signal(fetch_action)
122 fetch_target_pc = Signal(32)
123 fetch_output_pc = Signal(32)
124 fetch_output_instruction = Signal(32)
125 fetch_output_st = Signal(fetch_output_state)
126
127 fs = Instance("CPUFetchStage", name="fetch_stage",
128 i_clk=ClockSignal(),
129 i_rst=ResetSignal(),
130 o_memory_interface_fetch_address = mi.fetch_address,
131 i_memory_interface_fetch_data = mi.fetch_data,
132 i_memory_interface_fetch_valid = mi.fetch_valid,
133 i_fetch_action = fetch_act,
134 i_target_pc = fetch_target_pc,
135 o_output_pc = fetch_output_pc,
136 o_output_instruction = fetch_output_instruction,
137 o_output_state = fetch_output_st,
138 i_reset_vector = reset_vector,
139 i_mtvec = mtvec,
140 )
141 self.specials += fs
142
143 decoder_funct7 = Signal(7)
144 decoder_funct3 = Signal(3)
145 decoder_rd = Signal(5)
146 decoder_rs1 = Signal(5)
147 decoder_rs2 = Signal(5)
148 decoder_immediate = Signal(32)
149 decoder_opcode = Signal(7)
150 decode_act = Signal(decode_action)
151
152 cd = Instance("CPUDecoder", name="decoder",
153 i_instruction = fetch_output_instruction,
154 o_funct7 = decoder_funct7,
155 o_funct3 = decoder_funct3,
156 o_rd = decoder_rd,
157 o_rs1 = decoder_rs1,
158 o_rs2 = decoder_rs2,
159 o_immediate = decoder_immediate,
160 o_opcode = decoder_opcode,
161 o_decode_action = decode_act
162 )
163 self.specials += cd
164
165 register_rs1 = Signal(32)
166 register_rs2 = Signal(32)
167 self.comb += If(decoder_rs1 == 0,
168 register_rs1.eq(0)
169 ).Else(
170 register_rs1.eq(registers[decoder_rs1-1]))
171 self.comb += If(decoder_rs2 == 0,
172 register_rs2.eq(0)
173 ).Else(
174 register_rs2.eq(registers[decoder_rs2-1]))
175
176 load_store_address = Signal(32)
177 load_store_address_low_2 = Signal(2)
178
179 self.comb += load_store_address.eq(decoder_immediate + register_rs1)
180 self.comb += load_store_address_low_2.eq(
181 decoder_immediate[:2] + register_rs1[:2])
182
183 load_store_misaligned = Signal()
184
185 lsa = self.get_ls_misaligned(load_store_misaligned, decoder_funct3,
186 load_store_address_low_2)
187 self.comb += lsa
188
189 # XXX rwaddr not 31:2 any more
190 self.comb += mi.rw_address.eq(load_store_address[2:])
191
192 unshifted_load_store_byte_mask = Signal(4)
193
194 self.comb += unshifted_load_store_byte_mask.eq(self.get_lsbm(
195 decoder_funct3))
196
197 # XXX yuck. this will cause migen simulation to fail
198 # (however conversion to verilog works)
199 self.comb += mi.rw_byte_mask.eq(
200 _Operator("<<", [unshifted_load_store_byte_mask,
201 load_store_address_low_2]))
202
203 # XXX not obvious
204 b3 = Mux(load_store_address_low_2[1],
205 Mux(load_store_address_low_2[0], register_rs2[0:8],
206 register_rs2[8:16]),
207 Mux(load_store_address_low_2[0], register_rs2[16:24],
208 register_rs2[24:32]))
209 b2 = Mux(load_store_address_low_2[1], register_rs2[0:8],
210 register_rs2[16:24])
211 b1 = Mux(load_store_address_low_2[0], register_rs2[0:8],
212 register_rs2[8:16])
213 b0 = register_rs2[0:8]
214
215 self.comb += mi.rw_data_in.eq(Cat(b0, b1, b2, b3))
216
217 # XXX not obvious
218 unmasked_loaded_value = Signal(32)
219
220 b0 = Mux(load_store_address_low_2[1],
221 Mux(load_store_address_low_2[0], mi.rw_data_out[24:32],
222 mi.rw_data_out[16:24]),
223 Mux(load_store_address_low_2[0], mi.rw_data_out[15:8],
224 mi.rw_data_out[0:8]))
225 b1 = Mux(load_store_address_low_2[1], mi.rw_data_out[24:31],
226 mi.rw_data_out[8:16])
227 b23 = mi.rw_data_out[16:32]
228
229 self.comb += unmasked_loaded_value.eq(Cat(b0, b1, b23))
230
231 if __name__ == "__main__":
232 example = CPU()
233 print(verilog.convert(example,
234 {
235 example.tty_write,
236 example.tty_write_data,
237 example.tty_write_busy,
238 example.switch_2,
239 example.switch_3,
240 example.led_1,
241 example.led_3,
242 }))
243
244 """
245
246 wire [31:0] loaded_value;
247
248 assign loaded_value[7:0] = unmasked_loaded_value[7:0];
249 assign loaded_value[15:8] = decoder_funct3[1:0] == 0 ? ({8{~decoder_funct3[2] & unmasked_loaded_value[7]}}) : unmasked_loaded_value[15:8];
250 assign loaded_value[31:16] = decoder_funct3[1] == 0 ? ({16{~decoder_funct3[2] & (decoder_funct3[0] ? unmasked_loaded_value[15] : unmasked_loaded_value[7])}}) : unmasked_loaded_value[31:16];
251
252 assign memory_interface_rw_active = ~reset
253 & (fetch_output_state == `fetch_output_state_valid)
254 & ~load_store_misaligned
255 & ((decode_action & (`decode_action_load | `decode_action_store)) != 0);
256
257 assign memory_interface_rw_read_not_write = ~decoder_opcode[5];
258
259 wire [31:0] alu_a = register_rs1;
260 wire [31:0] alu_b = decoder_opcode[5] ? register_rs2 : decoder_immediate;
261 wire [31:0] alu_result;
262
263 cpu_alu alu(
264 .funct7(decoder_funct7),
265 .funct3(decoder_funct3),
266 .opcode(decoder_opcode),
267 .a(alu_a),
268 .b(alu_b),
269 .result(alu_result)
270 );
271
272 wire [31:0] lui_auipc_result = decoder_opcode[5] ? decoder_immediate : decoder_immediate + fetch_output_pc;
273
274 assign fetch_target_pc[31:1] = ((decoder_opcode != `opcode_jalr ? fetch_output_pc[31:1] : register_rs1[31:1]) + decoder_immediate[31:1]);
275 assign fetch_target_pc[0] = 0;
276
277 wire misaligned_jump_target = fetch_target_pc[1];
278
279 wire [31:0] branch_arg_a = {register_rs1[31] ^ ~decoder_funct3[1], register_rs1[30:0]};
280 wire [31:0] branch_arg_b = {register_rs2[31] ^ ~decoder_funct3[1], register_rs2[30:0]};
281
282 wire branch_taken = decoder_funct3[0] ^ (decoder_funct3[2] ? branch_arg_a < branch_arg_b : branch_arg_a == branch_arg_b);
283
284 reg [31:0] mcause = 0;
285 reg [31:0] mepc = 32'hXXXXXXXX;
286 reg [31:0] mscratch = 32'hXXXXXXXX;
287
288 reg mstatus_mpie = 1'bX;
289 reg mstatus_mie = 0;
290 parameter mstatus_mprv = 0;
291 parameter mstatus_tsr = 0;
292 parameter mstatus_tw = 0;
293 parameter mstatus_tvm = 0;
294 parameter mstatus_mxr = 0;
295 parameter mstatus_sum = 0;
296 parameter mstatus_xs = 0;
297 parameter mstatus_fs = 0;
298 parameter mstatus_mpp = 2'b11;
299 parameter mstatus_spp = 0;
300 parameter mstatus_spie = 0;
301 parameter mstatus_upie = 0;
302 parameter mstatus_sie = 0;
303 parameter mstatus_uie = 0;
304
305 reg mie_meie = 1'bX;
306 reg mie_mtie = 1'bX;
307 reg mie_msie = 1'bX;
308 parameter mie_seie = 0;
309 parameter mie_ueie = 0;
310 parameter mie_stie = 0;
311 parameter mie_utie = 0;
312 parameter mie_ssie = 0;
313 parameter mie_usie = 0;
314
315 task reset_to_initial;
316 begin
317 mcause = 0;
318 mepc = 32'hXXXXXXXX;
319 mscratch = 32'hXXXXXXXX;
320 mstatus_mie = 0;
321 mstatus_mpie = 1'bX;
322 mie_meie = 1'bX;
323 mie_mtie = 1'bX;
324 mie_msie = 1'bX;
325 registers['h01] <= 32'hXXXXXXXX;
326 registers['h02] <= 32'hXXXXXXXX;
327 registers['h03] <= 32'hXXXXXXXX;
328 registers['h04] <= 32'hXXXXXXXX;
329 registers['h05] <= 32'hXXXXXXXX;
330 registers['h06] <= 32'hXXXXXXXX;
331 registers['h07] <= 32'hXXXXXXXX;
332 registers['h08] <= 32'hXXXXXXXX;
333 registers['h09] <= 32'hXXXXXXXX;
334 registers['h0A] <= 32'hXXXXXXXX;
335 registers['h0B] <= 32'hXXXXXXXX;
336 registers['h0C] <= 32'hXXXXXXXX;
337 registers['h0D] <= 32'hXXXXXXXX;
338 registers['h0E] <= 32'hXXXXXXXX;
339 registers['h0F] <= 32'hXXXXXXXX;
340 registers['h10] <= 32'hXXXXXXXX;
341 registers['h11] <= 32'hXXXXXXXX;
342 registers['h12] <= 32'hXXXXXXXX;
343 registers['h13] <= 32'hXXXXXXXX;
344 registers['h14] <= 32'hXXXXXXXX;
345 registers['h15] <= 32'hXXXXXXXX;
346 registers['h16] <= 32'hXXXXXXXX;
347 registers['h17] <= 32'hXXXXXXXX;
348 registers['h18] <= 32'hXXXXXXXX;
349 registers['h19] <= 32'hXXXXXXXX;
350 registers['h1A] <= 32'hXXXXXXXX;
351 registers['h1B] <= 32'hXXXXXXXX;
352 registers['h1C] <= 32'hXXXXXXXX;
353 registers['h1D] <= 32'hXXXXXXXX;
354 registers['h1E] <= 32'hXXXXXXXX;
355 registers['h1F] <= 32'hXXXXXXXX;
356 end
357 endtask
358
359 task write_register(input [4:0] register_number, input [31:0] value);
360 begin
361 if(register_number != 0)
362 registers[register_number] <= value;
363 end
364 endtask
365
366 function [31:0] evaluate_csr_funct3_operation(input [2:0] funct3, input [31:0] previous_value, input [31:0] written_value);
367 begin
368 case(funct3)
369 `funct3_csrrw, `funct3_csrrwi:
370 evaluate_csr_funct3_operation = written_value;
371 `funct3_csrrs, `funct3_csrrsi:
372 evaluate_csr_funct3_operation = written_value | previous_value;
373 `funct3_csrrc, `funct3_csrrci:
374 evaluate_csr_funct3_operation = ~written_value & previous_value;
375 default:
376 evaluate_csr_funct3_operation = 32'hXXXXXXXX;
377 endcase
378 end
379 endfunction
380
381 parameter misa_a = 1'b0;
382 parameter misa_b = 1'b0;
383 parameter misa_c = 1'b0;
384 parameter misa_d = 1'b0;
385 parameter misa_e = 1'b0;
386 parameter misa_f = 1'b0;
387 parameter misa_g = 1'b0;
388 parameter misa_h = 1'b0;
389 parameter misa_i = 1'b1;
390 parameter misa_j = 1'b0;
391 parameter misa_k = 1'b0;
392 parameter misa_l = 1'b0;
393 parameter misa_m = 1'b0;
394 parameter misa_n = 1'b0;
395 parameter misa_o = 1'b0;
396 parameter misa_p = 1'b0;
397 parameter misa_q = 1'b0;
398 parameter misa_r = 1'b0;
399 parameter misa_s = 1'b0;
400 parameter misa_t = 1'b0;
401 parameter misa_u = 1'b0;
402 parameter misa_v = 1'b0;
403 parameter misa_w = 1'b0;
404 parameter misa_x = 1'b0;
405 parameter misa_y = 1'b0;
406 parameter misa_z = 1'b0;
407 parameter misa = {
408 2'b01,
409 4'b0,
410 misa_z,
411 misa_y,
412 misa_x,
413 misa_w,
414 misa_v,
415 misa_u,
416 misa_t,
417 misa_s,
418 misa_r,
419 misa_q,
420 misa_p,
421 misa_o,
422 misa_n,
423 misa_m,
424 misa_l,
425 misa_k,
426 misa_j,
427 misa_i,
428 misa_h,
429 misa_g,
430 misa_f,
431 misa_e,
432 misa_d,
433 misa_c,
434 misa_b,
435 misa_a};
436
437 parameter mvendorid = 32'b0;
438 parameter marchid = 32'b0;
439 parameter mimpid = 32'b0;
440 parameter mhartid = 32'b0;
441
442 function [31:0] make_mstatus(input mstatus_tsr,
443 input mstatus_tw,
444 input mstatus_tvm,
445 input mstatus_mxr,
446 input mstatus_sum,
447 input mstatus_mprv,
448 input [1:0] mstatus_xs,
449 input [1:0] mstatus_fs,
450 input [1:0] mstatus_mpp,
451 input mstatus_spp,
452 input mstatus_mpie,
453 input mstatus_spie,
454 input mstatus_upie,
455 input mstatus_mie,
456 input mstatus_sie,
457 input mstatus_uie);
458 begin
459 make_mstatus = {(mstatus_xs == 2'b11) | (mstatus_fs == 2'b11),
460 8'b0,
461 mstatus_tsr,
462 mstatus_tw,
463 mstatus_tvm,
464 mstatus_mxr,
465 mstatus_sum,
466 mstatus_mprv,
467 mstatus_xs,
468 mstatus_fs,
469 mstatus_mpp,
470 2'b0,
471 mstatus_spp,
472 mstatus_mpie,
473 1'b0,
474 mstatus_spie,
475 mstatus_upie,
476 mstatus_mie,
477 1'b0,
478 mstatus_sie,
479 mstatus_uie};
480 end
481 endfunction
482
483 wire mip_meip = 0; // TODO: implement external interrupts
484 parameter mip_seip = 0;
485 parameter mip_ueip = 0;
486 wire mip_mtip = 0; // TODO: implement timer interrupts
487 parameter mip_stip = 0;
488 parameter mip_utip = 0;
489 parameter mip_msip = 0;
490 parameter mip_ssip = 0;
491 parameter mip_usip = 0;
492
493 wire csr_op_is_valid;
494
495 function `fetch_action get_fetch_action(
496 input `fetch_output_state fetch_output_state,
497 input `decode_action decode_action,
498 input load_store_misaligned,
499 input memory_interface_rw_address_valid,
500 input memory_interface_rw_wait,
501 input branch_taken,
502 input misaligned_jump_target,
503 input csr_op_is_valid
504 );
505 begin
506 case(fetch_output_state)
507 `fetch_output_state_empty:
508 get_fetch_action = `fetch_action_default;
509 `fetch_output_state_trap:
510 get_fetch_action = `fetch_action_ack_trap;
511 `fetch_output_state_valid: begin
512 if((decode_action & `decode_action_trap_illegal_instruction) != 0) begin
513 get_fetch_action = `fetch_action_error_trap;
514 end
515 else if((decode_action & `decode_action_trap_ecall_ebreak) != 0) begin
516 get_fetch_action = `fetch_action_noerror_trap;
517 end
518 else if((decode_action & (`decode_action_load | `decode_action_store)) != 0) begin
519 if(load_store_misaligned | ~memory_interface_rw_address_valid) begin
520 get_fetch_action = `fetch_action_error_trap;
521 end
522 else if(memory_interface_rw_wait) begin
523 get_fetch_action = `fetch_action_wait;
524 end
525 else begin
526 get_fetch_action = `fetch_action_default;
527 end
528 end
529 else if((decode_action & `decode_action_fence_i) != 0) begin
530 get_fetch_action = `fetch_action_fence;
531 end
532 else if((decode_action & `decode_action_branch) != 0) begin
533 if(branch_taken) begin
534 if(misaligned_jump_target) begin
535 get_fetch_action = `fetch_action_error_trap;
536 end
537 else begin
538 get_fetch_action = `fetch_action_jump;
539 end
540 end
541 else
542 begin
543 get_fetch_action = `fetch_action_default;
544 end
545 end
546 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
547 if(misaligned_jump_target) begin
548 get_fetch_action = `fetch_action_error_trap;
549 end
550 else begin
551 get_fetch_action = `fetch_action_jump;
552 end
553 end
554 else if((decode_action & `decode_action_csr) != 0) begin
555 if(csr_op_is_valid)
556 get_fetch_action = `fetch_action_default;
557 else
558 get_fetch_action = `fetch_action_error_trap;
559 end
560 else begin
561 get_fetch_action = `fetch_action_default;
562 end
563 end
564 default:
565 get_fetch_action = 32'hXXXXXXXX;
566 endcase
567 end
568 endfunction
569
570 assign fetch_action = get_fetch_action(
571 fetch_output_state,
572 decode_action,
573 load_store_misaligned,
574 memory_interface_rw_address_valid,
575 memory_interface_rw_wait,
576 branch_taken,
577 misaligned_jump_target,
578 csr_op_is_valid
579 );
580
581 task handle_trap;
582 begin
583 mstatus_mpie = mstatus_mie;
584 mstatus_mie = 0;
585 mepc = (fetch_action == `fetch_action_noerror_trap) ? fetch_output_pc + 4 : fetch_output_pc;
586 if(fetch_action == `fetch_action_ack_trap) begin
587 mcause = `cause_instruction_access_fault;
588 end
589 else if((decode_action & `decode_action_trap_illegal_instruction) != 0) begin
590 mcause = `cause_illegal_instruction;
591 end
592 else if((decode_action & `decode_action_trap_ecall_ebreak) != 0) begin
593 mcause = decoder_immediate[0] ? `cause_machine_environment_call : `cause_breakpoint;
594 end
595 else if((decode_action & `decode_action_load) != 0) begin
596 if(load_store_misaligned)
597 mcause = `cause_load_address_misaligned;
598 else
599 mcause = `cause_load_access_fault;
600 end
601 else if((decode_action & `decode_action_store) != 0) begin
602 if(load_store_misaligned)
603 mcause = `cause_store_amo_address_misaligned;
604 else
605 mcause = `cause_store_amo_access_fault;
606 end
607 else if((decode_action & (`decode_action_branch | `decode_action_jal | `decode_action_jalr)) != 0) begin
608 mcause = `cause_instruction_address_misaligned;
609 end
610 else begin
611 mcause = `cause_illegal_instruction;
612 end
613 end
614 endtask
615
616 wire [11:0] csr_number = decoder_immediate;
617 wire [31:0] csr_input_value = decoder_funct3[2] ? decoder_rs1 : register_rs1;
618 wire csr_reads = decoder_funct3[1] | (decoder_rd != 0);
619 wire csr_writes = ~decoder_funct3[1] | (decoder_rs1 != 0);
620
621 function get_csr_op_is_valid(input [11:0] csr_number, input csr_reads, input csr_writes);
622 begin
623 case(csr_number)
624 `csr_ustatus,
625 `csr_fflags,
626 `csr_frm,
627 `csr_fcsr,
628 `csr_uie,
629 `csr_utvec,
630 `csr_uscratch,
631 `csr_uepc,
632 `csr_ucause,
633 `csr_utval,
634 `csr_uip,
635 `csr_sstatus,
636 `csr_sedeleg,
637 `csr_sideleg,
638 `csr_sie,
639 `csr_stvec,
640 `csr_scounteren,
641 `csr_sscratch,
642 `csr_sepc,
643 `csr_scause,
644 `csr_stval,
645 `csr_sip,
646 `csr_satp,
647 `csr_medeleg,
648 `csr_mideleg,
649 `csr_dcsr,
650 `csr_dpc,
651 `csr_dscratch:
652 get_csr_op_is_valid = 0;
653 `csr_cycle,
654 `csr_time,
655 `csr_instret,
656 `csr_cycleh,
657 `csr_timeh,
658 `csr_instreth,
659 `csr_mvendorid,
660 `csr_marchid,
661 `csr_mimpid,
662 `csr_mhartid:
663 get_csr_op_is_valid = ~csr_writes;
664 `csr_misa,
665 `csr_mstatus,
666 `csr_mie,
667 `csr_mtvec,
668 `csr_mscratch,
669 `csr_mepc,
670 `csr_mcause,
671 `csr_mip:
672 get_csr_op_is_valid = 1;
673 `csr_mcounteren,
674 `csr_mtval,
675 `csr_mcycle,
676 `csr_minstret,
677 `csr_mcycleh,
678 `csr_minstreth:
679 // TODO: CSRs not implemented yet
680 get_csr_op_is_valid = 0;
681 endcase
682 end
683 endfunction
684
685 assign csr_op_is_valid = get_csr_op_is_valid(csr_number, csr_reads, csr_writes);
686
687 wire [63:0] cycle_counter = 0; // TODO: implement cycle_counter
688 wire [63:0] time_counter = 0; // TODO: implement time_counter
689 wire [63:0] instret_counter = 0; // TODO: implement instret_counter
690
691 always @(posedge clk) begin:main_block
692 if(reset) begin
693 reset_to_initial();
694 disable main_block;
695 end
696 case(fetch_output_state)
697 `fetch_output_state_empty: begin
698 end
699 `fetch_output_state_trap: begin
700 handle_trap();
701 end
702 `fetch_output_state_valid: begin:valid
703 if((fetch_action == `fetch_action_error_trap) | (fetch_action == `fetch_action_noerror_trap)) begin
704 handle_trap();
705 end
706 else if((decode_action & `decode_action_load) != 0) begin
707 if(~memory_interface_rw_wait)
708 write_register(decoder_rd, loaded_value);
709 end
710 else if((decode_action & `decode_action_op_op_imm) != 0) begin
711 write_register(decoder_rd, alu_result);
712 end
713 else if((decode_action & `decode_action_lui_auipc) != 0) begin
714 write_register(decoder_rd, lui_auipc_result);
715 end
716 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
717 write_register(decoder_rd, fetch_output_pc + 4);
718 end
719 else if((decode_action & `decode_action_csr) != 0) begin:csr
720 reg [31:0] csr_output_value;
721 reg [31:0] csr_written_value;
722 csr_output_value = 32'hXXXXXXXX;
723 csr_written_value = 32'hXXXXXXXX;
724 case(csr_number)
725 `csr_cycle: begin
726 csr_output_value = cycle_counter[31:0];
727 end
728 `csr_time: begin
729 csr_output_value = time_counter[31:0];
730 end
731 `csr_instret: begin
732 csr_output_value = instret_counter[31:0];
733 end
734 `csr_cycleh: begin
735 csr_output_value = cycle_counter[63:32];
736 end
737 `csr_timeh: begin
738 csr_output_value = time_counter[63:32];
739 end
740 `csr_instreth: begin
741 csr_output_value = instret_counter[63:32];
742 end
743 `csr_mvendorid: begin
744 csr_output_value = mvendorid;
745 end
746 `csr_marchid: begin
747 csr_output_value = marchid;
748 end
749 `csr_mimpid: begin
750 csr_output_value = mimpid;
751 end
752 `csr_mhartid: begin
753 csr_output_value = mhartid;
754 end
755 `csr_misa: begin
756 csr_output_value = misa;
757 end
758 `csr_mstatus: begin
759 csr_output_value = make_mstatus(mstatus_tsr,
760 mstatus_tw,
761 mstatus_tvm,
762 mstatus_mxr,
763 mstatus_sum,
764 mstatus_mprv,
765 mstatus_xs,
766 mstatus_fs,
767 mstatus_mpp,
768 mstatus_spp,
769 mstatus_mpie,
770 mstatus_spie,
771 mstatus_upie,
772 mstatus_mie,
773 mstatus_sie,
774 mstatus_uie);
775 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
776 if(csr_writes) begin
777 mstatus_mpie = csr_written_value[7];
778 mstatus_mie = csr_written_value[3];
779 end
780 end
781 `csr_mie: begin
782 csr_output_value = 0;
783 csr_output_value[11] = mie_meie;
784 csr_output_value[9] = mie_seie;
785 csr_output_value[8] = mie_ueie;
786 csr_output_value[7] = mie_mtie;
787 csr_output_value[5] = mie_stie;
788 csr_output_value[4] = mie_utie;
789 csr_output_value[3] = mie_msie;
790 csr_output_value[1] = mie_ssie;
791 csr_output_value[0] = mie_usie;
792 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
793 if(csr_writes) begin
794 mie_meie = csr_written_value[11];
795 mie_mtie = csr_written_value[7];
796 mie_msie = csr_written_value[3];
797 end
798 end
799 `csr_mtvec: begin
800 csr_output_value = mtvec;
801 end
802 `csr_mscratch: begin
803 csr_output_value = mscratch;
804 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
805 if(csr_writes)
806 mscratch = csr_written_value;
807 end
808 `csr_mepc: begin
809 csr_output_value = mepc;
810 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
811 if(csr_writes)
812 mepc = csr_written_value;
813 end
814 `csr_mcause: begin
815 csr_output_value = mcause;
816 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
817 if(csr_writes)
818 mcause = csr_written_value;
819 end
820 `csr_mip: begin
821 csr_output_value = 0;
822 csr_output_value[11] = mip_meip;
823 csr_output_value[9] = mip_seip;
824 csr_output_value[8] = mip_ueip;
825 csr_output_value[7] = mip_mtip;
826 csr_output_value[5] = mip_stip;
827 csr_output_value[4] = mip_utip;
828 csr_output_value[3] = mip_msip;
829 csr_output_value[1] = mip_ssip;
830 csr_output_value[0] = mip_usip;
831 end
832 endcase
833 if(csr_reads)
834 write_register(decoder_rd, csr_output_value);
835 end
836 else if((decode_action & (`decode_action_fence | `decode_action_fence_i | `decode_action_store | `decode_action_branch)) != 0) begin
837 // do nothing
838 end
839 end
840 endcase
841 end
842
843 endmodule
844 """
845