Allow delegation of device interrupts
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "sim.h"
8 #include "mmu.h"
9 #include "htif.h"
10 #include "disasm.h"
11 #include <cinttypes>
12 #include <cmath>
13 #include <cstdlib>
14 #include <iostream>
15 #include <assert.h>
16 #include <limits.h>
17 #include <stdexcept>
18 #include <algorithm>
19
20 #undef STATE
21 #define STATE state
22
23 processor_t::processor_t(const char* isa, sim_t* sim, uint32_t id)
24 : sim(sim), ext(NULL), disassembler(new disassembler_t),
25 id(id), run(false), debug(false)
26 {
27 parse_isa_string(isa);
28
29 mmu = new mmu_t(sim, this);
30
31 reset(true);
32
33 register_base_instructions();
34 }
35
36 processor_t::~processor_t()
37 {
38 #ifdef RISCV_ENABLE_HISTOGRAM
39 if (histogram_enabled)
40 {
41 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
42 for (auto it : pc_histogram)
43 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
44 }
45 #endif
46
47 delete mmu;
48 delete disassembler;
49 }
50
51 static void bad_isa_string(const char* isa)
52 {
53 fprintf(stderr, "error: bad --isa option %s\n", isa);
54 abort();
55 }
56
57 void processor_t::parse_isa_string(const char* str)
58 {
59 std::string lowercase, tmp;
60 for (const char *r = str; *r; r++)
61 lowercase += std::tolower(*r);
62
63 const char* p = lowercase.c_str();
64 const char* all_subsets = "imafdc";
65
66 max_xlen = 64;
67 isa = reg_t(2) << 62;
68
69 if (strncmp(p, "rv32", 4) == 0)
70 max_xlen = 32, isa = reg_t(1) << 30, p += 4;
71 else if (strncmp(p, "rv64", 4) == 0)
72 p += 4;
73 else if (strncmp(p, "rv", 2) == 0)
74 p += 2;
75
76 if (!*p) {
77 p = all_subsets;
78 } else if (*p == 'g') { // treat "G" as "IMAFD"
79 tmp = std::string("imafd") + (p+1);
80 p = &tmp[0];
81 } else if (*p != 'i') {
82 bad_isa_string(str);
83 }
84
85 isa_string = "rv" + std::to_string(max_xlen) + p;
86 isa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
87
88 while (*p) {
89 isa |= 1L << (*p - 'a');
90
91 if (auto next = strchr(all_subsets, *p)) {
92 all_subsets = next + 1;
93 p++;
94 } else if (*p == 'x') {
95 const char* ext = p+1, *end = ext;
96 while (islower(*end))
97 end++;
98 register_extension(find_extension(std::string(ext, end - ext).c_str())());
99 p = end;
100 } else {
101 bad_isa_string(str);
102 }
103 }
104
105 if (supports_extension('D') && !supports_extension('F'))
106 bad_isa_string(str);
107
108 // advertise support for supervisor and user modes
109 isa |= 1L << ('s' - 'a');
110 isa |= 1L << ('u' - 'a');
111 }
112
113 void state_t::reset()
114 {
115 memset(this, 0, sizeof(*this));
116 prv = PRV_M;
117 pc = DEFAULT_RSTVEC;
118 mtvec = DEFAULT_MTVEC;
119 load_reservation = -1;
120 }
121
122 void processor_t::set_debug(bool value)
123 {
124 debug = value;
125 if (ext)
126 ext->set_debug(value);
127 }
128
129 void processor_t::set_histogram(bool value)
130 {
131 histogram_enabled = value;
132 #ifndef RISCV_ENABLE_HISTOGRAM
133 if (value) {
134 fprintf(stderr, "PC Histogram support has not been properly enabled;");
135 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
136 }
137 #endif
138 }
139
140 void processor_t::reset(bool value)
141 {
142 if (run == !value)
143 return;
144 run = !value;
145
146 state.reset();
147 set_csr(CSR_MSTATUS, state.mstatus);
148
149 if (ext)
150 ext->reset(); // reset the extension
151 }
152
153 void processor_t::raise_interrupt(reg_t which)
154 {
155 throw trap_t(((reg_t)1 << (max_xlen-1)) | which);
156 }
157
158 static int ctz(reg_t val)
159 {
160 int res = 0;
161 if (val)
162 while ((val & 1) == 0)
163 val >>= 1, res++;
164 return res;
165 }
166
167 void processor_t::take_interrupt()
168 {
169 reg_t pending_interrupts = state.mip & state.mie;
170
171 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
172 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
173 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
174
175 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
176 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
177 enabled_interrupts |= pending_interrupts & state.mideleg & -s_enabled;
178
179 if (enabled_interrupts)
180 raise_interrupt(ctz(enabled_interrupts));
181 }
182
183 static bool validate_priv(reg_t priv)
184 {
185 return priv == PRV_U || priv == PRV_S || priv == PRV_M;
186 }
187
188 void processor_t::set_privilege(reg_t prv)
189 {
190 assert(validate_priv(prv));
191 mmu->flush_tlb();
192 state.prv = prv;
193 }
194
195 void processor_t::take_trap(trap_t& t, reg_t epc)
196 {
197 if (debug)
198 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
199 id, t.name(), epc);
200
201 // by default, trap to M-mode, unless delegated to S-mode
202 reg_t bit = t.cause();
203 reg_t deleg = state.medeleg;
204 if (bit & ((reg_t)1 << (max_xlen-1)))
205 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
206 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
207 // handle the trap in S-mode
208 state.pc = state.stvec;
209 state.scause = t.cause();
210 state.sepc = epc;
211 if (t.has_badaddr())
212 state.sbadaddr = t.get_badaddr();
213
214 reg_t s = state.mstatus;
215 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_UIE << state.prv));
216 s = set_field(s, MSTATUS_SPP, state.prv);
217 s = set_field(s, MSTATUS_SIE, 0);
218 set_csr(CSR_MSTATUS, s);
219 set_privilege(PRV_S);
220 } else {
221 state.pc = state.mtvec;
222 state.mcause = t.cause();
223 state.mepc = epc;
224 if (t.has_badaddr())
225 state.mbadaddr = t.get_badaddr();
226
227 reg_t s = state.mstatus;
228 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_UIE << state.prv));
229 s = set_field(s, MSTATUS_MPP, state.prv);
230 s = set_field(s, MSTATUS_MIE, 0);
231 set_csr(CSR_MSTATUS, s);
232 set_privilege(PRV_M);
233 }
234
235 yield_load_reservation();
236 }
237
238 void processor_t::disasm(insn_t insn)
239 {
240 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
241 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
242 id, state.pc, bits, disassembler->disassemble(insn).c_str());
243 }
244
245 static bool validate_vm(int max_xlen, reg_t vm)
246 {
247 if (max_xlen == 64 && (vm == VM_SV39 || vm == VM_SV48))
248 return true;
249 if (max_xlen == 32 && vm == VM_SV32)
250 return true;
251 return vm == VM_MBARE;
252 }
253
254 void processor_t::set_csr(int which, reg_t val)
255 {
256 val = zext_xlen(val);
257 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP | (1 << IRQ_COP);
258 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
259 switch (which)
260 {
261 case CSR_FFLAGS:
262 dirty_fp_state;
263 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
264 break;
265 case CSR_FRM:
266 dirty_fp_state;
267 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
268 break;
269 case CSR_FCSR:
270 dirty_fp_state;
271 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
272 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
273 break;
274 case CSR_MSTATUS: {
275 if ((val ^ state.mstatus) &
276 (MSTATUS_VM | MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_PUM))
277 mmu->flush_tlb();
278
279 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
280 | MSTATUS_SPP | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_PUM
281 | (ext ? MSTATUS_XS : 0);
282
283 if (validate_vm(max_xlen, get_field(val, MSTATUS_VM)))
284 mask |= MSTATUS_VM;
285 if (validate_priv(get_field(val, MSTATUS_MPP)))
286 mask |= MSTATUS_MPP;
287
288 state.mstatus = (state.mstatus & ~mask) | (val & mask);
289
290 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
291 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
292 if (max_xlen == 32)
293 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
294 else
295 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
296
297 // spike supports the notion of xlen < max_xlen, but current priv spec
298 // doesn't provide a mechanism to run RV32 software on an RV64 machine
299 xlen = max_xlen;
300 break;
301 }
302 case CSR_MIP: {
303 reg_t mask = MIP_SSIP | MIP_STIP;
304 state.mip = (state.mip & ~mask) | (val & mask);
305 break;
306 }
307 case CSR_MIE:
308 state.mie = (state.mie & ~all_ints) | (val & all_ints);
309 break;
310 case CSR_MIDELEG:
311 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
312 break;
313 case CSR_MEDELEG: {
314 reg_t mask = 0;
315 #define DECLARE_CAUSE(name, value) mask |= 1ULL << (value);
316 #include "encoding.h"
317 #undef DECLARE_CAUSE
318 state.medeleg = (state.medeleg & ~mask) | (val & mask);
319 break;
320 }
321 case CSR_MUCOUNTEREN:
322 state.mucounteren = val & 7;
323 break;
324 case CSR_MSCOUNTEREN:
325 state.mscounteren = val & 7;
326 break;
327 case CSR_SSTATUS: {
328 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
329 | SSTATUS_XS | SSTATUS_PUM;
330 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
331 }
332 case CSR_SIP:
333 return set_csr(CSR_MIP,
334 (state.mip & ~state.mideleg) | (val & state.mideleg));
335 case CSR_SIE:
336 return set_csr(CSR_MIE,
337 (state.mie & ~state.mideleg) | (val & state.mideleg));
338 case CSR_SEPC: state.sepc = val; break;
339 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
340 case CSR_SPTBR: state.sptbr = val; break;
341 case CSR_SSCRATCH: state.sscratch = val; break;
342 case CSR_SCAUSE: state.scause = val; break;
343 case CSR_SBADADDR: state.sbadaddr = val; break;
344 case CSR_MEPC: state.mepc = val; break;
345 case CSR_MTVEC: state.mtvec = val >> 2 << 2; break;
346 case CSR_MSCRATCH: state.mscratch = val; break;
347 case CSR_MCAUSE: state.mcause = val; break;
348 case CSR_MBADADDR: state.mbadaddr = val; break;
349 }
350 }
351
352 reg_t processor_t::get_csr(int which)
353 {
354 switch (which)
355 {
356 case CSR_FFLAGS:
357 require_fp;
358 if (!supports_extension('F'))
359 break;
360 return state.fflags;
361 case CSR_FRM:
362 require_fp;
363 if (!supports_extension('F'))
364 break;
365 return state.frm;
366 case CSR_FCSR:
367 require_fp;
368 if (!supports_extension('F'))
369 break;
370 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
371 case CSR_TIME:
372 case CSR_INSTRET:
373 case CSR_CYCLE:
374 if ((state.mucounteren >> (which & (xlen-1))) & 1)
375 return get_csr(which + (CSR_MCYCLE - CSR_CYCLE));
376 break;
377 case CSR_STIME:
378 case CSR_SINSTRET:
379 case CSR_SCYCLE:
380 if ((state.mscounteren >> (which & (xlen-1))) & 1)
381 return get_csr(which + (CSR_MCYCLE - CSR_SCYCLE));
382 break;
383 case CSR_MUCOUNTEREN: return state.mucounteren;
384 case CSR_MSCOUNTEREN: return state.mscounteren;
385 case CSR_MUCYCLE_DELTA: return 0;
386 case CSR_MUTIME_DELTA: return 0;
387 case CSR_MUINSTRET_DELTA: return 0;
388 case CSR_MSCYCLE_DELTA: return 0;
389 case CSR_MSTIME_DELTA: return 0;
390 case CSR_MSINSTRET_DELTA: return 0;
391 case CSR_MUCYCLE_DELTAH: if (xlen > 32) break; else return 0;
392 case CSR_MUTIME_DELTAH: if (xlen > 32) break; else return 0;
393 case CSR_MUINSTRET_DELTAH: if (xlen > 32) break; else return 0;
394 case CSR_MSCYCLE_DELTAH: if (xlen > 32) break; else return 0;
395 case CSR_MSTIME_DELTAH: if (xlen > 32) break; else return 0;
396 case CSR_MSINSTRET_DELTAH: if (xlen > 32) break; else return 0;
397 case CSR_MCYCLE: return state.minstret;
398 case CSR_MINSTRET: return state.minstret;
399 case CSR_MCYCLEH: if (xlen > 32) break; else return state.minstret >> 32;
400 case CSR_MINSTRETH: if (xlen > 32) break; else return state.minstret >> 32;
401 case CSR_SSTATUS: {
402 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
403 | SSTATUS_XS | SSTATUS_PUM;
404 reg_t sstatus = state.mstatus & mask;
405 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
406 (sstatus & SSTATUS_XS) == SSTATUS_XS)
407 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
408 return sstatus;
409 }
410 case CSR_SIP: return state.mip & state.mideleg;
411 case CSR_SIE: return state.mie & state.mideleg;
412 case CSR_SEPC: return state.sepc;
413 case CSR_SBADADDR: return state.sbadaddr;
414 case CSR_STVEC: return state.stvec;
415 case CSR_SCAUSE:
416 if (max_xlen > xlen)
417 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
418 return state.scause;
419 case CSR_SPTBR: return state.sptbr;
420 case CSR_SASID: return 0;
421 case CSR_SSCRATCH: return state.sscratch;
422 case CSR_MSTATUS: return state.mstatus;
423 case CSR_MIP: return state.mip;
424 case CSR_MIE: return state.mie;
425 case CSR_MEPC: return state.mepc;
426 case CSR_MSCRATCH: return state.mscratch;
427 case CSR_MCAUSE: return state.mcause;
428 case CSR_MBADADDR: return state.mbadaddr;
429 case CSR_MISA: return isa;
430 case CSR_MARCHID: return 0;
431 case CSR_MIMPID: return 0;
432 case CSR_MVENDORID: return 0;
433 case CSR_MHARTID: return id;
434 case CSR_MTVEC: return state.mtvec;
435 case CSR_MEDELEG: return state.medeleg;
436 case CSR_MIDELEG: return state.mideleg;
437 }
438 throw trap_illegal_instruction();
439 }
440
441 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
442 {
443 throw trap_illegal_instruction();
444 }
445
446 insn_func_t processor_t::decode_insn(insn_t insn)
447 {
448 // look up opcode in hash table
449 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
450 insn_desc_t desc = opcode_cache[idx];
451
452 if (unlikely(insn.bits() != desc.match)) {
453 // fall back to linear search
454 insn_desc_t* p = &instructions[0];
455 while ((insn.bits() & p->mask) != p->match)
456 p++;
457 desc = *p;
458
459 if (p->mask != 0 && p > &instructions[0]) {
460 if (p->match != (p-1)->match && p->match != (p+1)->match) {
461 // move to front of opcode list to reduce miss penalty
462 while (--p >= &instructions[0])
463 *(p+1) = *p;
464 instructions[0] = desc;
465 }
466 }
467
468 opcode_cache[idx] = desc;
469 opcode_cache[idx].match = insn.bits();
470 }
471
472 return xlen == 64 ? desc.rv64 : desc.rv32;
473 }
474
475 void processor_t::register_insn(insn_desc_t desc)
476 {
477 instructions.push_back(desc);
478 }
479
480 void processor_t::build_opcode_map()
481 {
482 struct cmp {
483 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
484 if (lhs.match == rhs.match)
485 return lhs.mask > rhs.mask;
486 return lhs.match > rhs.match;
487 }
488 };
489 std::sort(instructions.begin(), instructions.end(), cmp());
490
491 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
492 opcode_cache[i] = {1, 0, &illegal_instruction, &illegal_instruction};
493 }
494
495 void processor_t::register_extension(extension_t* x)
496 {
497 for (auto insn : x->get_instructions())
498 register_insn(insn);
499 build_opcode_map();
500 for (auto disasm_insn : x->get_disasms())
501 disassembler->add_insn(disasm_insn);
502 if (ext != NULL)
503 throw std::logic_error("only one extension may be registered");
504 ext = x;
505 x->set_processor(this);
506 }
507
508 void processor_t::register_base_instructions()
509 {
510 #define DECLARE_INSN(name, match, mask) \
511 insn_bits_t name##_match = (match), name##_mask = (mask);
512 #include "encoding.h"
513 #undef DECLARE_INSN
514
515 #define DEFINE_INSN(name) \
516 REGISTER_INSN(this, name, name##_match, name##_mask)
517 #include "insn_list.h"
518 #undef DEFINE_INSN
519
520 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
521 build_opcode_map();
522 }
523
524 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
525 {
526 return false;
527 }
528
529 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
530 {
531 switch (addr)
532 {
533 case 0:
534 state.mip &= ~MIP_MSIP;
535 if (bytes[0] & 1)
536 state.mip |= MIP_MSIP;
537 return true;
538
539 default:
540 return false;
541 }
542 }