Software breakpoints seem to work.
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "sim.h"
8 #include "mmu.h"
9 #include "htif.h"
10 #include "disasm.h"
11 #include <cinttypes>
12 #include <cmath>
13 #include <cstdlib>
14 #include <iostream>
15 #include <assert.h>
16 #include <limits.h>
17 #include <stdexcept>
18 #include <algorithm>
19
20 #undef STATE
21 #define STATE state
22
23 processor_t::processor_t(const char* isa, sim_t* sim, uint32_t id)
24 : sim(sim), ext(NULL), disassembler(new disassembler_t),
25 id(id), run(false), debug(false), halted(false), single_step(false)
26 {
27 parse_isa_string(isa);
28
29 mmu = new mmu_t(sim, this);
30
31 reset(true);
32
33 register_base_instructions();
34 }
35
36 processor_t::~processor_t()
37 {
38 #ifdef RISCV_ENABLE_HISTOGRAM
39 if (histogram_enabled)
40 {
41 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
42 for (auto it : pc_histogram)
43 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
44 }
45 #endif
46
47 delete mmu;
48 delete disassembler;
49 }
50
51 static void bad_isa_string(const char* isa)
52 {
53 fprintf(stderr, "error: bad --isa option %s\n", isa);
54 abort();
55 }
56
57 void processor_t::parse_isa_string(const char* str)
58 {
59 std::string lowercase, tmp;
60 for (const char *r = str; *r; r++)
61 lowercase += std::tolower(*r);
62
63 const char* p = lowercase.c_str();
64 const char* all_subsets = "imafdc";
65
66 max_xlen = 64;
67 isa = reg_t(2) << 62;
68
69 if (strncmp(p, "rv32", 4) == 0)
70 max_xlen = 32, isa = reg_t(1) << 30, p += 4;
71 else if (strncmp(p, "rv64", 4) == 0)
72 p += 4;
73 else if (strncmp(p, "rv", 2) == 0)
74 p += 2;
75
76 if (!*p) {
77 p = all_subsets;
78 } else if (*p == 'g') { // treat "G" as "IMAFD"
79 tmp = std::string("imafd") + (p+1);
80 p = &tmp[0];
81 } else if (*p != 'i') {
82 bad_isa_string(str);
83 }
84
85 isa_string = "rv" + std::to_string(max_xlen) + p;
86 isa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
87
88 while (*p) {
89 isa |= 1L << (*p - 'a');
90
91 if (auto next = strchr(all_subsets, *p)) {
92 all_subsets = next + 1;
93 p++;
94 } else if (*p == 'x') {
95 const char* ext = p+1, *end = ext;
96 while (islower(*end))
97 end++;
98 register_extension(find_extension(std::string(ext, end - ext).c_str())());
99 p = end;
100 } else {
101 bad_isa_string(str);
102 }
103 }
104
105 if (supports_extension('D') && !supports_extension('F'))
106 bad_isa_string(str);
107
108 // advertise support for supervisor and user modes
109 isa |= 1L << ('s' - 'a');
110 isa |= 1L << ('u' - 'a');
111 }
112
113 void state_t::reset()
114 {
115 memset(this, 0, sizeof(*this));
116 prv = PRV_M;
117 pc = DEFAULT_RSTVEC;
118 mtvec = DEFAULT_MTVEC;
119 load_reservation = -1;
120 }
121
122 void processor_t::set_debug(bool value)
123 {
124 debug = value;
125 if (ext)
126 ext->set_debug(value);
127 }
128
129 void processor_t::set_halted(bool value)
130 {
131 halted = value;
132 }
133
134 void processor_t::set_single_step(bool value)
135 {
136 single_step = value;
137 }
138
139 void processor_t::set_histogram(bool value)
140 {
141 histogram_enabled = value;
142 #ifndef RISCV_ENABLE_HISTOGRAM
143 if (value) {
144 fprintf(stderr, "PC Histogram support has not been properly enabled;");
145 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
146 }
147 #endif
148 }
149
150 void processor_t::reset(bool value)
151 {
152 if (run == !value)
153 return;
154 run = !value;
155
156 state.reset();
157 set_csr(CSR_MSTATUS, state.mstatus);
158
159 if (ext)
160 ext->reset(); // reset the extension
161 }
162
163 void processor_t::raise_interrupt(reg_t which)
164 {
165 throw trap_t(((reg_t)1 << (max_xlen-1)) | which);
166 }
167
168 static int ctz(reg_t val)
169 {
170 int res = 0;
171 if (val)
172 while ((val & 1) == 0)
173 val >>= 1, res++;
174 return res;
175 }
176
177 void processor_t::take_interrupt()
178 {
179 reg_t pending_interrupts = state.mip & state.mie;
180
181 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
182 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
183 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
184
185 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
186 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
187 enabled_interrupts |= pending_interrupts & state.mideleg & -s_enabled;
188
189 if (enabled_interrupts)
190 raise_interrupt(ctz(enabled_interrupts));
191 }
192
193 static bool validate_priv(reg_t priv)
194 {
195 return priv == PRV_U || priv == PRV_S || priv == PRV_M;
196 }
197
198 void processor_t::set_privilege(reg_t prv)
199 {
200 assert(validate_priv(prv));
201 mmu->flush_tlb();
202 state.prv = prv;
203 }
204
205 void processor_t::take_trap(trap_t& t, reg_t epc)
206 {
207 if (debug)
208 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
209 id, t.name(), epc);
210
211 if (t.cause() == CAUSE_BREAKPOINT) {
212 // TODO: Only do this if there is a debugger attached.
213 halted = true;
214 return;
215 }
216
217 // by default, trap to M-mode, unless delegated to S-mode
218 reg_t bit = t.cause();
219 reg_t deleg = state.medeleg;
220 if (bit & ((reg_t)1 << (max_xlen-1)))
221 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
222 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
223 // handle the trap in S-mode
224 state.pc = state.stvec;
225 state.scause = t.cause();
226 state.sepc = epc;
227 if (t.has_badaddr())
228 state.sbadaddr = t.get_badaddr();
229
230 reg_t s = state.mstatus;
231 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_UIE << state.prv));
232 s = set_field(s, MSTATUS_SPP, state.prv);
233 s = set_field(s, MSTATUS_SIE, 0);
234 set_csr(CSR_MSTATUS, s);
235 set_privilege(PRV_S);
236 } else {
237 state.pc = state.mtvec;
238 state.mcause = t.cause();
239 state.mepc = epc;
240 if (t.has_badaddr())
241 state.mbadaddr = t.get_badaddr();
242
243 reg_t s = state.mstatus;
244 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_UIE << state.prv));
245 s = set_field(s, MSTATUS_MPP, state.prv);
246 s = set_field(s, MSTATUS_MIE, 0);
247 set_csr(CSR_MSTATUS, s);
248 set_privilege(PRV_M);
249 }
250
251 yield_load_reservation();
252 }
253
254 void processor_t::disasm(insn_t insn)
255 {
256 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
257 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
258 id, state.pc, bits, disassembler->disassemble(insn).c_str());
259 }
260
261 static bool validate_vm(int max_xlen, reg_t vm)
262 {
263 if (max_xlen == 64 && (vm == VM_SV39 || vm == VM_SV48))
264 return true;
265 if (max_xlen == 32 && vm == VM_SV32)
266 return true;
267 return vm == VM_MBARE;
268 }
269
270 void processor_t::set_csr(int which, reg_t val)
271 {
272 val = zext_xlen(val);
273 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP | (1 << IRQ_COP);
274 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
275 switch (which)
276 {
277 case CSR_FFLAGS:
278 dirty_fp_state;
279 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
280 break;
281 case CSR_FRM:
282 dirty_fp_state;
283 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
284 break;
285 case CSR_FCSR:
286 dirty_fp_state;
287 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
288 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
289 break;
290 case CSR_MSTATUS: {
291 if ((val ^ state.mstatus) &
292 (MSTATUS_VM | MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_PUM))
293 mmu->flush_tlb();
294
295 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
296 | MSTATUS_SPP | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_PUM
297 | (ext ? MSTATUS_XS : 0);
298
299 if (validate_vm(max_xlen, get_field(val, MSTATUS_VM)))
300 mask |= MSTATUS_VM;
301 if (validate_priv(get_field(val, MSTATUS_MPP)))
302 mask |= MSTATUS_MPP;
303
304 state.mstatus = (state.mstatus & ~mask) | (val & mask);
305
306 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
307 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
308 if (max_xlen == 32)
309 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
310 else
311 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
312
313 // spike supports the notion of xlen < max_xlen, but current priv spec
314 // doesn't provide a mechanism to run RV32 software on an RV64 machine
315 xlen = max_xlen;
316 break;
317 }
318 case CSR_MIP: {
319 reg_t mask = MIP_SSIP | MIP_STIP;
320 state.mip = (state.mip & ~mask) | (val & mask);
321 break;
322 }
323 case CSR_MIE:
324 state.mie = (state.mie & ~all_ints) | (val & all_ints);
325 break;
326 case CSR_MIDELEG:
327 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
328 break;
329 case CSR_MEDELEG: {
330 reg_t mask = 0;
331 #define DECLARE_CAUSE(name, value) mask |= 1ULL << (value);
332 #include "encoding.h"
333 #undef DECLARE_CAUSE
334 state.medeleg = (state.medeleg & ~mask) | (val & mask);
335 break;
336 }
337 case CSR_MUCOUNTEREN:
338 state.mucounteren = val & 7;
339 break;
340 case CSR_MSCOUNTEREN:
341 state.mscounteren = val & 7;
342 break;
343 case CSR_SSTATUS: {
344 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
345 | SSTATUS_XS | SSTATUS_PUM;
346 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
347 }
348 case CSR_SIP:
349 return set_csr(CSR_MIP,
350 (state.mip & ~state.mideleg) | (val & state.mideleg));
351 case CSR_SIE:
352 return set_csr(CSR_MIE,
353 (state.mie & ~state.mideleg) | (val & state.mideleg));
354 case CSR_SEPC: state.sepc = val; break;
355 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
356 case CSR_SPTBR: state.sptbr = val; break;
357 case CSR_SSCRATCH: state.sscratch = val; break;
358 case CSR_SCAUSE: state.scause = val; break;
359 case CSR_SBADADDR: state.sbadaddr = val; break;
360 case CSR_MEPC: state.mepc = val; break;
361 case CSR_MTVEC: state.mtvec = val >> 2 << 2; break;
362 case CSR_MSCRATCH: state.mscratch = val; break;
363 case CSR_MCAUSE: state.mcause = val; break;
364 case CSR_MBADADDR: state.mbadaddr = val; break;
365 }
366 }
367
368 reg_t processor_t::get_csr(int which)
369 {
370 switch (which)
371 {
372 case CSR_FFLAGS:
373 require_fp;
374 if (!supports_extension('F'))
375 break;
376 return state.fflags;
377 case CSR_FRM:
378 require_fp;
379 if (!supports_extension('F'))
380 break;
381 return state.frm;
382 case CSR_FCSR:
383 require_fp;
384 if (!supports_extension('F'))
385 break;
386 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
387 case CSR_TIME:
388 case CSR_INSTRET:
389 case CSR_CYCLE:
390 if ((state.mucounteren >> (which & (xlen-1))) & 1)
391 return get_csr(which + (CSR_MCYCLE - CSR_CYCLE));
392 break;
393 case CSR_STIME:
394 case CSR_SINSTRET:
395 case CSR_SCYCLE:
396 if ((state.mscounteren >> (which & (xlen-1))) & 1)
397 return get_csr(which + (CSR_MCYCLE - CSR_SCYCLE));
398 break;
399 case CSR_MUCOUNTEREN: return state.mucounteren;
400 case CSR_MSCOUNTEREN: return state.mscounteren;
401 case CSR_MUCYCLE_DELTA: return 0;
402 case CSR_MUTIME_DELTA: return 0;
403 case CSR_MUINSTRET_DELTA: return 0;
404 case CSR_MSCYCLE_DELTA: return 0;
405 case CSR_MSTIME_DELTA: return 0;
406 case CSR_MSINSTRET_DELTA: return 0;
407 case CSR_MUCYCLE_DELTAH: if (xlen > 32) break; else return 0;
408 case CSR_MUTIME_DELTAH: if (xlen > 32) break; else return 0;
409 case CSR_MUINSTRET_DELTAH: if (xlen > 32) break; else return 0;
410 case CSR_MSCYCLE_DELTAH: if (xlen > 32) break; else return 0;
411 case CSR_MSTIME_DELTAH: if (xlen > 32) break; else return 0;
412 case CSR_MSINSTRET_DELTAH: if (xlen > 32) break; else return 0;
413 case CSR_MCYCLE: return state.minstret;
414 case CSR_MINSTRET: return state.minstret;
415 case CSR_MCYCLEH: if (xlen > 32) break; else return state.minstret >> 32;
416 case CSR_MINSTRETH: if (xlen > 32) break; else return state.minstret >> 32;
417 case CSR_SSTATUS: {
418 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
419 | SSTATUS_XS | SSTATUS_PUM;
420 reg_t sstatus = state.mstatus & mask;
421 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
422 (sstatus & SSTATUS_XS) == SSTATUS_XS)
423 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
424 return sstatus;
425 }
426 case CSR_SIP: return state.mip & state.mideleg;
427 case CSR_SIE: return state.mie & state.mideleg;
428 case CSR_SEPC: return state.sepc;
429 case CSR_SBADADDR: return state.sbadaddr;
430 case CSR_STVEC: return state.stvec;
431 case CSR_SCAUSE:
432 if (max_xlen > xlen)
433 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
434 return state.scause;
435 case CSR_SPTBR: return state.sptbr;
436 case CSR_SASID: return 0;
437 case CSR_SSCRATCH: return state.sscratch;
438 case CSR_MSTATUS: return state.mstatus;
439 case CSR_MIP: return state.mip;
440 case CSR_MIE: return state.mie;
441 case CSR_MEPC: return state.mepc;
442 case CSR_MSCRATCH: return state.mscratch;
443 case CSR_MCAUSE: return state.mcause;
444 case CSR_MBADADDR: return state.mbadaddr;
445 case CSR_MISA: return isa;
446 case CSR_MARCHID: return 0;
447 case CSR_MIMPID: return 0;
448 case CSR_MVENDORID: return 0;
449 case CSR_MHARTID: return id;
450 case CSR_MTVEC: return state.mtvec;
451 case CSR_MEDELEG: return state.medeleg;
452 case CSR_MIDELEG: return state.mideleg;
453 }
454 throw trap_illegal_instruction();
455 }
456
457 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
458 {
459 throw trap_illegal_instruction();
460 }
461
462 insn_func_t processor_t::decode_insn(insn_t insn)
463 {
464 // look up opcode in hash table
465 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
466 insn_desc_t desc = opcode_cache[idx];
467
468 if (unlikely(insn.bits() != desc.match)) {
469 // fall back to linear search
470 insn_desc_t* p = &instructions[0];
471 while ((insn.bits() & p->mask) != p->match)
472 p++;
473 desc = *p;
474
475 if (p->mask != 0 && p > &instructions[0]) {
476 if (p->match != (p-1)->match && p->match != (p+1)->match) {
477 // move to front of opcode list to reduce miss penalty
478 while (--p >= &instructions[0])
479 *(p+1) = *p;
480 instructions[0] = desc;
481 }
482 }
483
484 opcode_cache[idx] = desc;
485 opcode_cache[idx].match = insn.bits();
486 }
487
488 return xlen == 64 ? desc.rv64 : desc.rv32;
489 }
490
491 void processor_t::register_insn(insn_desc_t desc)
492 {
493 instructions.push_back(desc);
494 }
495
496 void processor_t::build_opcode_map()
497 {
498 struct cmp {
499 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
500 if (lhs.match == rhs.match)
501 return lhs.mask > rhs.mask;
502 return lhs.match > rhs.match;
503 }
504 };
505 std::sort(instructions.begin(), instructions.end(), cmp());
506
507 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
508 opcode_cache[i] = {1, 0, &illegal_instruction, &illegal_instruction};
509 }
510
511 void processor_t::register_extension(extension_t* x)
512 {
513 for (auto insn : x->get_instructions())
514 register_insn(insn);
515 build_opcode_map();
516 for (auto disasm_insn : x->get_disasms())
517 disassembler->add_insn(disasm_insn);
518 if (ext != NULL)
519 throw std::logic_error("only one extension may be registered");
520 ext = x;
521 x->set_processor(this);
522 }
523
524 void processor_t::register_base_instructions()
525 {
526 #define DECLARE_INSN(name, match, mask) \
527 insn_bits_t name##_match = (match), name##_mask = (mask);
528 #include "encoding.h"
529 #undef DECLARE_INSN
530
531 #define DEFINE_INSN(name) \
532 REGISTER_INSN(this, name, name##_match, name##_mask)
533 #include "insn_list.h"
534 #undef DEFINE_INSN
535
536 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
537 build_opcode_map();
538 }
539
540 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
541 {
542 return false;
543 }
544
545 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
546 {
547 switch (addr)
548 {
549 case 0:
550 state.mip &= ~MIP_MSIP;
551 if (bytes[0] & 1)
552 state.mip |= MIP_MSIP;
553 return true;
554
555 default:
556 return false;
557 }
558 }