add comment
[riscv-isa-sim.git] / riscv / processor.cc
1 // See LICENSE for license details.
2
3 #include "processor.h"
4 #include "extension.h"
5 #include "common.h"
6 #include "config.h"
7 #include "simif.h"
8 #include "mmu.h"
9 #include "disasm.h"
10 #include <cinttypes>
11 #include <cmath>
12 #include <cstdlib>
13 #include <iostream>
14 #include <assert.h>
15 #include <limits.h>
16 #include <stdexcept>
17 #include <algorithm>
18 #ifdef SPIKE_SIMPLEV
19 #include "sv_insn_redirect.h"
20 #endif
21
22 #undef STATE
23 #define STATE state
24
25 processor_t::processor_t(const char* isa, simif_t* sim, uint32_t id,
26 bool halt_on_reset)
27 : debug(false), halt_request(false), sim(sim), ext(NULL), id(id),
28 halt_on_reset(halt_on_reset), last_pc(1), executions(1)
29 #ifdef SPIKE_SIMPLEV
30 , s(this)
31 #endif
32 {
33 parse_isa_string(isa);
34 register_base_instructions();
35
36 #ifdef SPIKE_SIMPLEV
37 mmu = new sv_mmu_t(sim, this);
38 #else
39 mmu = new mmu_t(sim, this);
40 #endif
41
42 disassembler = new disassembler_t(max_xlen);
43 if (ext)
44 for (auto disasm_insn : ext->get_disasms())
45 disassembler->add_insn(disasm_insn);
46
47 reset();
48 }
49
50 processor_t::~processor_t()
51 {
52 #ifdef RISCV_ENABLE_HISTOGRAM
53 if (histogram_enabled)
54 {
55 fprintf(stderr, "PC Histogram size:%zu\n", pc_histogram.size());
56 for (auto it : pc_histogram)
57 fprintf(stderr, "%0" PRIx64 " %" PRIu64 "\n", it.first, it.second);
58 }
59 #endif
60
61 delete mmu;
62 delete disassembler;
63 }
64
65 static void bad_isa_string(const char* isa)
66 {
67 fprintf(stderr, "error: bad --isa option %s\n", isa);
68 abort();
69 }
70
71 void processor_t::parse_isa_string(const char* str)
72 {
73 std::string lowercase, tmp;
74 for (const char *r = str; *r; r++)
75 lowercase += std::tolower(*r);
76
77 const char* p = lowercase.c_str();
78 const char* all_subsets = "imafdqc";
79
80 max_xlen = 64;
81 state.misa = reg_t(2) << 62;
82
83 if (strncmp(p, "rv32", 4) == 0)
84 max_xlen = 32, state.misa = reg_t(1) << 30, p += 4;
85 else if (strncmp(p, "rv64", 4) == 0)
86 p += 4;
87 else if (strncmp(p, "rv", 2) == 0)
88 p += 2;
89
90 if (!*p) {
91 p = "imafdc";
92 } else if (*p == 'g') { // treat "G" as "IMAFD"
93 tmp = std::string("imafd") + (p+1);
94 p = &tmp[0];
95 } else if (*p != 'i') {
96 bad_isa_string(str);
97 }
98
99 isa_string = "rv" + std::to_string(max_xlen) + p;
100 state.misa |= 1L << ('s' - 'a'); // advertise support for supervisor mode
101 state.misa |= 1L << ('u' - 'a'); // advertise support for user mode
102
103 while (*p) {
104 state.misa |= 1L << (*p - 'a');
105
106 if (auto next = strchr(all_subsets, *p)) {
107 all_subsets = next + 1;
108 p++;
109 } else if (*p == 'x') {
110 const char* ext = p+1, *end = ext;
111 while (islower(*end))
112 end++;
113 register_extension(find_extension(std::string(ext, end - ext).c_str())());
114 p = end;
115 } else {
116 bad_isa_string(str);
117 }
118 }
119
120 if (supports_extension('D') && !supports_extension('F'))
121 bad_isa_string(str);
122
123 if (supports_extension('Q') && !supports_extension('D'))
124 bad_isa_string(str);
125
126 if (supports_extension('Q') && max_xlen < 64)
127 bad_isa_string(str);
128
129 max_isa = state.misa;
130 }
131
132 void state_t::reset(reg_t max_isa)
133 {
134 memset(this, 0, sizeof(*this));
135 misa = max_isa;
136 prv = PRV_M;
137 pc = DEFAULT_RSTVEC;
138 tselect = 0;
139 for (unsigned int i = 0; i < num_triggers; i++)
140 mcontrol[i].type = 2;
141 #ifdef SPIKE_SIMPLEV
142 // set SV CSR banks to default (full) sizes
143 msv.state_size = 1;
144 ssv.state_size = 1;
145 usv.state_size = 3;
146 #endif
147 }
148
149 void sv_shape_t::setup_map()
150 {
151 int order[3] = {};
152 int lims[3] = {xsz, ysz, zsz};
153 int idxs[3] = {0,0,0};
154
155 switch (permute) {
156 case SV_SHAPE_PERM_XYZ: order[0] = 0; order[1] = 1; order[2] = 2; break;
157 case SV_SHAPE_PERM_XZY: order[0] = 0; order[1] = 2; order[2] = 1; break;
158 case SV_SHAPE_PERM_YXZ: order[0] = 1; order[1] = 0; order[2] = 2; break;
159 case SV_SHAPE_PERM_YZX: order[0] = 1; order[1] = 2; order[2] = 0; break;
160 case SV_SHAPE_PERM_ZXY: order[0] = 2; order[1] = 0; order[2] = 1; break;
161 case SV_SHAPE_PERM_ZYX: order[0] = 2; order[1] = 1; order[2] = 0; break;
162 default: throw trap_illegal_instruction(0);
163 }
164 for (int i = 0; i < 128; i++)
165 {
166 uint8_t new_idx = idxs[0] + idxs[1] * xsz + idxs[2] * xsz * ysz;
167 map[i] = new_idx;
168 for (int j = 0; j < 3; j++)
169 {
170 idxs[order[j]] = idxs[order[j]] + 1;
171 if (idxs[order[j]] != lims[order[j]]) {
172 break;
173 }
174 idxs[order[j]] = 0;
175 }
176 }
177 }
178
179 int state_t::sv_csr_sz()
180 {
181 if (prv == PRV_M)
182 return SV_MCSR_SZ;
183 if (prv == PRV_S)
184 return SV_SCSR_SZ;
185 return SV_UCSR_SZ;
186 }
187 sv_csr_t &state_t::sv()
188 {
189 if (prv == PRV_M)
190 return get_msv();
191 if (prv == PRV_S)
192 return get_ssv();
193 return get_usv();
194 }
195
196 sv_shape_t* state_t::get_shape(reg_t reg)
197 {
198 if (prv == PRV_M || prv == PRV_S || reg == 0) {
199 return NULL;
200 }
201 for (int i = 0; i < 3; i++) {
202 if (remap[i].regidx == reg) {
203 return &shape[i];
204 }
205 }
206 return NULL;
207 }
208
209 void processor_t::set_debug(bool value)
210 {
211 debug = value;
212 if (ext)
213 ext->set_debug(value);
214 }
215
216 void processor_t::set_histogram(bool value)
217 {
218 histogram_enabled = value;
219 #ifndef RISCV_ENABLE_HISTOGRAM
220 if (value) {
221 fprintf(stderr, "PC Histogram support has not been properly enabled;");
222 fprintf(stderr, " please re-build the riscv-isa-run project using \"configure --enable-histogram\".\n");
223 }
224 #endif
225 }
226
227 void processor_t::reset()
228 {
229 state.reset(max_isa);
230 state.dcsr.halt = halt_on_reset;
231 halt_on_reset = false;
232 set_csr(CSR_MSTATUS, state.mstatus);
233
234 if (ext)
235 ext->reset(); // reset the extension
236
237 if (sim)
238 sim->proc_reset(id);
239 }
240
241 // Count number of contiguous 0 bits starting from the LSB.
242 static int ctz(reg_t val)
243 {
244 int res = 0;
245 if (val)
246 while ((val & 1) == 0)
247 val >>= 1, res++;
248 return res;
249 }
250
251 void processor_t::take_interrupt(reg_t pending_interrupts)
252 {
253 reg_t mie = get_field(state.mstatus, MSTATUS_MIE);
254 reg_t m_enabled = state.prv < PRV_M || (state.prv == PRV_M && mie);
255 reg_t enabled_interrupts = pending_interrupts & ~state.mideleg & -m_enabled;
256
257 reg_t sie = get_field(state.mstatus, MSTATUS_SIE);
258 reg_t s_enabled = state.prv < PRV_S || (state.prv == PRV_S && sie);
259 // M-ints have highest priority; consider S-ints only if no M-ints pending
260 if (enabled_interrupts == 0)
261 enabled_interrupts = pending_interrupts & state.mideleg & -s_enabled;
262
263 if (state.dcsr.cause == 0 && enabled_interrupts) {
264 // nonstandard interrupts have highest priority
265 if (enabled_interrupts >> IRQ_M_EXT)
266 enabled_interrupts = enabled_interrupts >> IRQ_M_EXT << IRQ_M_EXT;
267 // external interrupts have next-highest priority
268 else if (enabled_interrupts & (MIP_MEIP | MIP_SEIP))
269 enabled_interrupts = enabled_interrupts & (MIP_MEIP | MIP_SEIP);
270 // software interrupts have next-highest priority
271 else if (enabled_interrupts & (MIP_MSIP | MIP_SSIP))
272 enabled_interrupts = enabled_interrupts & (MIP_MSIP | MIP_SSIP);
273 // timer interrupts have next-highest priority
274 else if (enabled_interrupts & (MIP_MTIP | MIP_STIP))
275 enabled_interrupts = enabled_interrupts & (MIP_MTIP | MIP_STIP);
276 else
277 abort();
278
279 throw trap_t(((reg_t)1 << (max_xlen-1)) | ctz(enabled_interrupts));
280 }
281 }
282
283 static int xlen_to_uxl(int xlen)
284 {
285 if (xlen == 32)
286 return 1;
287 if (xlen == 64)
288 return 2;
289 abort();
290 }
291
292 reg_t processor_t::legalize_privilege(reg_t prv)
293 {
294 assert(prv <= PRV_M);
295
296 if (!supports_extension('U'))
297 return PRV_M;
298
299 if (prv == PRV_H || !supports_extension('S'))
300 return PRV_U;
301
302 return prv;
303 }
304
305 void processor_t::set_privilege(reg_t prv)
306 {
307 mmu->flush_tlb();
308 state.prv = legalize_privilege(prv);
309 }
310
311 void processor_t::enter_debug_mode(uint8_t cause)
312 {
313 state.dcsr.cause = cause;
314 state.dcsr.prv = state.prv;
315 set_privilege(PRV_M);
316 state.dpc = state.pc;
317 state.pc = DEBUG_ROM_ENTRY;
318 }
319
320 void processor_t::take_trap(trap_t& t, reg_t epc)
321 {
322 if (debug) {
323 fprintf(stderr, "core %3d: exception %s, epc 0x%016" PRIx64 "\n",
324 id, t.name(), epc);
325 if (t.has_tval())
326 fprintf(stderr, "core %3d: tval 0x%016" PRIx64 "\n", id,
327 t.get_tval());
328 }
329
330 if (state.dcsr.cause) {
331 if (t.cause() == CAUSE_BREAKPOINT) {
332 state.pc = DEBUG_ROM_ENTRY;
333 } else {
334 state.pc = DEBUG_ROM_TVEC;
335 }
336 return;
337 }
338
339 if (t.cause() == CAUSE_BREAKPOINT && (
340 (state.prv == PRV_M && state.dcsr.ebreakm) ||
341 (state.prv == PRV_S && state.dcsr.ebreaks) ||
342 (state.prv == PRV_U && state.dcsr.ebreaku))) {
343 enter_debug_mode(DCSR_CAUSE_SWBP);
344 return;
345 }
346
347 // by default, trap to M-mode, unless delegated to S-mode
348 reg_t bit = t.cause();
349 reg_t deleg = state.medeleg;
350 bool interrupt = (bit & ((reg_t)1 << (max_xlen-1))) != 0;
351 if (interrupt)
352 deleg = state.mideleg, bit &= ~((reg_t)1 << (max_xlen-1));
353 if (state.prv <= PRV_S && bit < max_xlen && ((deleg >> bit) & 1)) {
354 // handle the trap in S-mode
355 state.pc = state.stvec;
356 state.scause = t.cause();
357 state.sepc = epc;
358 state.stval = t.get_tval();
359
360 reg_t s = state.mstatus;
361 s = set_field(s, MSTATUS_SPIE, get_field(s, MSTATUS_SIE));
362 s = set_field(s, MSTATUS_SPP, state.prv);
363 s = set_field(s, MSTATUS_SIE, 0);
364 set_csr(CSR_MSTATUS, s);
365 set_privilege(PRV_S);
366 } else {
367 reg_t vector = (state.mtvec & 1) && interrupt ? 4*bit : 0;
368 state.pc = (state.mtvec & ~(reg_t)1) + vector;
369 state.mepc = epc;
370 state.mcause = t.cause();
371 state.mtval = t.get_tval();
372
373 reg_t s = state.mstatus;
374 s = set_field(s, MSTATUS_MPIE, get_field(s, MSTATUS_MIE));
375 s = set_field(s, MSTATUS_MPP, state.prv);
376 s = set_field(s, MSTATUS_MIE, 0);
377 set_csr(CSR_MSTATUS, s);
378 set_privilege(PRV_M);
379 }
380 }
381
382 void processor_t::disasm(insn_t insn)
383 {
384 uint64_t bits = insn.bits() & ((1ULL << (8 * insn_length(insn.bits()))) - 1);
385 if (last_pc != state.pc || last_bits != bits) {
386 if (executions != 1) {
387 fprintf(stderr, "core %3d: Executed %" PRIx64 " times\n", id, executions);
388 }
389
390 fprintf(stderr, "core %3d: 0x%016" PRIx64 " (0x%08" PRIx64 ") %s\n",
391 id, state.pc, bits, disassembler->disassemble(insn).c_str());
392 last_pc = state.pc;
393 last_bits = bits;
394 executions = 1;
395 } else {
396 executions++;
397 }
398 }
399
400 int processor_t::paddr_bits()
401 {
402 assert(xlen == max_xlen);
403 return max_xlen == 64 ? 50 : 34;
404 }
405
406 void state_t::get_csr_start_end(int &start, int &end)
407 {
408 start = sv().state_bank * 4;
409 end = start + (1 << (sv().state_size+1));
410 start = std::min(sv_csr_sz(), start);
411 end = std::min(sv_csr_sz(), end);
412 fprintf(stderr, "sv state csr start/end: %d %d\n", start, end);
413 }
414
415 void state_t::sv_csr_reg_unpack()
416 {
417 // okaaay and now "unpack" the CAM to make it easier to use. this
418 // approach is not designed to be efficient right now. optimise later
419 // first clear the old tables
420 memset(sv().sv_int_tb, 0, sizeof(sv().sv_int_tb));
421 memset(sv().sv_fp_tb, 0, sizeof(sv().sv_fp_tb));
422 // now walk the CAM and unpack it
423 int start = 0;
424 int end = 0;
425 get_csr_start_end(start, end);
426 for (int i = start; i < end; i++)
427 {
428 union sv_reg_csr_entry *c = &sv().sv_csrs[i];
429 uint64_t idx = c->b.regkey;
430 sv_reg_entry *r;
431 if (c->u == 0)
432 {
433 break;
434 }
435 // XXX damn. this basically duplicates sv_insn_t::get_regentry.
436 if (c->b.type == 1)
437 {
438 r = &sv().sv_int_tb[idx];
439 }
440 else
441 {
442 r = &sv().sv_fp_tb[idx];
443 }
444 r->elwidth = c->b.elwidth;
445 r->regidx = c->b.regidx;
446 r->isvec = c->b.isvec;
447 r->active = true;
448 fprintf(stderr, "setting REGCFG type:%d isvec:%d %d %d\n",
449 c->b.type, r->isvec, (int)idx, (int)r->regidx);
450 }
451 }
452
453 void state_t::sv_csr_pred_unpack()
454 {
455 memset(sv().sv_pred_int_tb, 0, sizeof(sv().sv_pred_int_tb));
456 memset(sv().sv_pred_fp_tb, 0, sizeof(sv().sv_pred_fp_tb));
457 int start = 0;
458 int end = 0;
459 get_csr_start_end(start, end);
460 for (int i = start; i < end; i++)
461 {
462 union sv_pred_csr_entry *c = &sv().sv_pred_csrs[i];
463 uint64_t idx = c->b.regkey;
464 if (c->u == 0)
465 {
466 break;
467 }
468 sv_pred_entry *r;
469 // XXX damn. this basically duplicates sv_insn_t::get_predentry.
470 if (c->b.type == 1)
471 {
472 r = &sv().sv_pred_int_tb[idx];
473 }
474 else
475 {
476 r = &sv().sv_pred_fp_tb[idx];
477 }
478 r->regidx = c->b.regidx;
479 r->zero = c->b.zero;
480 r->inv = c->b.inv;
481 r->packed = c->b.packed;
482 r->active = true;
483 fprintf(stderr, "setting PREDCFG %d type:%d zero:%d %d %d\n",
484 i, c->b.type, r->zero, (int)idx, (int)r->regidx);
485 }
486 }
487
488 void processor_t::set_csr(int which, reg_t val)
489 {
490 val = _zext_xlen(val);
491 reg_t delegable_ints = MIP_SSIP | MIP_STIP | MIP_SEIP
492 | ((ext != NULL) << IRQ_COP);
493 reg_t all_ints = delegable_ints | MIP_MSIP | MIP_MTIP;
494 fprintf(stderr, "set CSR %x %lx\n", which, val);
495 switch (which)
496 {
497 #ifdef SPIKE_SIMPLEV
498 case CSR_USVMVL:
499 state.sv().mvl = std::min(val, (uint64_t)64); // limited to XLEN width
500 // TODO XXX throw exception if val == 0
501 fprintf(stderr, "set MVL %lx\n", state.sv().mvl);
502 break;
503 case CSR_USVSTATE:
504 {
505 // bits 0-5: mvl - 6-11: vl - 12-17: srcoffs - 18-23: destoffs
506 set_csr(CSR_USVMVL, get_field(val, SV_STATE_VL )+1);
507 set_csr(CSR_USVVL , get_field(val, SV_STATE_MVL)+1);
508 reg_t srcoffs = get_field(val, SV_STATE_SRCOFFS);
509 reg_t destoffs = get_field(val, SV_STATE_DESTOFFS);
510 state.sv().srcoffs = std::min(srcoffs , state.sv().vl-1);
511 state.sv().destoffs = std::min(destoffs, state.sv().vl-1);
512 int state_bank = get_field(val, SV_STATE_BANK);
513 int state_size = get_field(val, SV_STATE_SIZE);
514 set_csr(CSR_USVCFG, state_bank | (state_size << 3));
515 break;
516 }
517 case CSR_USVCFG:
518 {
519 int old_bank = state.sv().state_bank;
520 int old_size = state.sv().state_size;
521 state.sv().state_bank = get_field(val, SV_STATE_BANK);
522 state.sv().state_size = get_field(val, SV_STATE_SIZE);
523 if (old_bank != state.sv().state_bank ||
524 old_size != state.sv().state_size)
525 {
526 // if the bank or size is changed, the csrs that are enabled
527 // also changes. easiest thing in software: recalculate them all
528 state.sv_csr_pred_unpack();
529 state.sv_csr_reg_unpack();
530 }
531 break;
532 }
533 case CSR_USVVL:
534 state.sv().vl = std::min(state.sv().mvl, val);
535 // TODO XXX throw exception if val == 0
536 fprintf(stderr, "set VL %lx\n", state.sv().vl);
537 break;
538 case CSR_SVREGCFG0:
539 case CSR_SVREGCFG1:
540 case CSR_SVREGCFG2:
541 case CSR_SVREGCFG3:
542 case CSR_SVREGCFG4:
543 case CSR_SVREGCFG5:
544 case CSR_SVREGCFG6:
545 case CSR_SVREGCFG7:
546 {
547 uint64_t v = (uint64_t)val;
548 // identify which (pair) of SV config CAM registers are being set
549 int tbidx = (which - CSR_SVREGCFG0) * 2;
550 fprintf(stderr, "set REGCFG %d %lx\n", tbidx, v);
551 // lower 16 bits go into even, upper into odd...
552 state.sv().sv_csrs[tbidx].u = get_field(v, 0xffffUL);
553 state.sv().sv_csrs[tbidx+1].u = get_field(v, 0xffffUL<<16);
554 int clroffset = 2;
555 if (xlen == 64)
556 {
557 state.sv().sv_csrs[tbidx+2].u = get_field(v, 0xffffUL<<32);
558 state.sv().sv_csrs[tbidx+3].u = get_field(v, 0xffffUL<<48);
559 clroffset = 4;
560 }
561 // clear out all CSRs above the one(s) being set: this ensures that
562 // when it comes to context-switching, it's clear what needs to be saved
563 for (int i = tbidx+clroffset; i < 16; i++)
564 {
565 fprintf(stderr, "clr REGCFG %d\n", i);
566 state.sv().sv_csrs[i].u = 0;
567 }
568 state.sv_csr_reg_unpack();
569 break;
570 }
571 case CSR_SVPREDCFG0:
572 case CSR_SVPREDCFG1:
573 case CSR_SVPREDCFG2:
574 case CSR_SVPREDCFG3:
575 case CSR_SVPREDCFG4:
576 case CSR_SVPREDCFG5:
577 case CSR_SVPREDCFG6:
578 case CSR_SVPREDCFG7:
579 {
580 // comments removed as it's near-identical to the regs version
581 // TODO: macro-ify
582 uint64_t v = (uint64_t)val;
583 int tbidx = (which - CSR_SVPREDCFG0) * 2;
584 fprintf(stderr, "set PREDCFG %d %lx\n", tbidx, v);
585 state.sv().sv_pred_csrs[tbidx].u = get_field(v, 0xffff);
586 state.sv().sv_pred_csrs[tbidx+1].u = get_field(v, 0xffff0000);
587 int clroffset = 2;
588 if (xlen == 64)
589 {
590 state.sv().sv_pred_csrs[tbidx+2].u = get_field(v, 0xffffUL<<32);
591 state.sv().sv_pred_csrs[tbidx+3].u = get_field(v, 0xffffUL<<48);
592 clroffset = 4;
593 }
594 for (int i = tbidx+clroffset; i < 16; i++)
595 {
596 state.sv().sv_pred_csrs[i].u = 0;
597 }
598 state.sv_csr_pred_unpack();
599 break;
600 }
601 case CSR_UREMAP:
602 {
603 state.remap[0].regidx = get_field(val, SV_REMAP_REGIDX0);
604 state.remap[1].regidx = get_field(val, SV_REMAP_REGIDX1);
605 state.remap[2].regidx = get_field(val, SV_REMAP_REGIDX2);
606 state.remap[0].shape = get_field(val, SV_REMAP_SHAPE0);
607 state.remap[1].shape = get_field(val, SV_REMAP_SHAPE1);
608 state.remap[2].shape = get_field(val, SV_REMAP_SHAPE2);
609 break;
610 }
611 case CSR_USHAPE0:
612 case CSR_USHAPE1:
613 case CSR_USHAPE2:
614 {
615 int shapeidx = which - CSR_USHAPE0;
616 state.shape[shapeidx].xsz = get_field(val, SV_SHAPE_XDIM) + 1;
617 state.shape[shapeidx].ysz = get_field(val, SV_SHAPE_YDIM) + 1;
618 state.shape[shapeidx].zsz = get_field(val, SV_SHAPE_ZDIM) + 1;
619 state.shape[shapeidx].offs = (get_field(val, (1<<7 )) ? 0x1 : 0) |
620 (get_field(val, (1<<15)) ? 0x2 : 0) |
621 (get_field(val, (1<<23)) ? 0x4 : 0);
622 state.shape[shapeidx].permute = get_field(val, SV_SHAPE_PERM);
623 state.shape[shapeidx].setup_map();
624 fprintf(stderr, "sv shape %d x %d y %d z %d offs %d perm %d\n",
625 shapeidx,
626 state.shape[shapeidx].xsz,
627 state.shape[shapeidx].ysz,
628 state.shape[shapeidx].zsz,
629 state.shape[shapeidx].offs,
630 state.shape[shapeidx].permute);
631 break;
632 }
633 #endif
634 case CSR_FFLAGS:
635 dirty_fp_state;
636 state.fflags = val & (FSR_AEXC >> FSR_AEXC_SHIFT);
637 break;
638 case CSR_FRM:
639 dirty_fp_state;
640 state.frm = val & (FSR_RD >> FSR_RD_SHIFT);
641 break;
642 case CSR_FCSR:
643 dirty_fp_state;
644 state.fflags = (val & FSR_AEXC) >> FSR_AEXC_SHIFT;
645 state.frm = (val & FSR_RD) >> FSR_RD_SHIFT;
646 break;
647 case CSR_MSTATUS: {
648 if ((val ^ state.mstatus) &
649 (MSTATUS_MPP | MSTATUS_MPRV | MSTATUS_SUM | MSTATUS_MXR))
650 mmu->flush_tlb();
651
652 reg_t mask = MSTATUS_SIE | MSTATUS_SPIE | MSTATUS_MIE | MSTATUS_MPIE
653 | MSTATUS_FS | MSTATUS_MPRV | MSTATUS_SUM
654 | MSTATUS_MXR | MSTATUS_TW | MSTATUS_TVM
655 | MSTATUS_TSR | MSTATUS_UXL | MSTATUS_SXL |
656 (ext ? MSTATUS_XS : 0);
657
658 reg_t requested_mpp = legalize_privilege(get_field(val, MSTATUS_MPP));
659 state.mstatus = set_field(state.mstatus, MSTATUS_MPP, requested_mpp);
660 if (supports_extension('S'))
661 mask |= MSTATUS_SPP;
662
663 state.mstatus = (state.mstatus & ~mask) | (val & mask);
664
665 bool dirty = (state.mstatus & MSTATUS_FS) == MSTATUS_FS;
666 dirty |= (state.mstatus & MSTATUS_XS) == MSTATUS_XS;
667 if (max_xlen == 32)
668 state.mstatus = set_field(state.mstatus, MSTATUS32_SD, dirty);
669 else
670 state.mstatus = set_field(state.mstatus, MSTATUS64_SD, dirty);
671
672 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
673 state.mstatus = set_field(state.mstatus, MSTATUS_UXL, xlen_to_uxl(max_xlen));
674 state.mstatus = set_field(state.mstatus, MSTATUS_SXL, xlen_to_uxl(max_xlen));
675 // U-XLEN == S-XLEN == M-XLEN
676 xlen = max_xlen;
677 break;
678 }
679 case CSR_MIP: {
680 reg_t mask = MIP_SSIP | MIP_STIP;
681 state.mip = (state.mip & ~mask) | (val & mask);
682 break;
683 }
684 case CSR_MIE:
685 state.mie = (state.mie & ~all_ints) | (val & all_ints);
686 break;
687 case CSR_MIDELEG:
688 state.mideleg = (state.mideleg & ~delegable_ints) | (val & delegable_ints);
689 break;
690 case CSR_MEDELEG: {
691 reg_t mask =
692 (1 << CAUSE_MISALIGNED_FETCH) |
693 (1 << CAUSE_BREAKPOINT) |
694 (1 << CAUSE_USER_ECALL) |
695 (1 << CAUSE_FETCH_PAGE_FAULT) |
696 (1 << CAUSE_LOAD_PAGE_FAULT) |
697 (1 << CAUSE_STORE_PAGE_FAULT);
698 state.medeleg = (state.medeleg & ~mask) | (val & mask);
699 break;
700 }
701 case CSR_MINSTRET:
702 case CSR_MCYCLE:
703 if (xlen == 32)
704 state.minstret = (state.minstret >> 32 << 32) | (val & 0xffffffffU);
705 else
706 state.minstret = val;
707 // The ISA mandates that if an instruction writes instret, the write
708 // takes precedence over the increment to instret. However, Spike
709 // unconditionally increments instret after executing an instruction.
710 // Correct for this artifact by decrementing instret here.
711 state.minstret--;
712 break;
713 case CSR_MINSTRETH:
714 case CSR_MCYCLEH:
715 state.minstret = (val << 32) | (state.minstret << 32 >> 32);
716 state.minstret--; // See comment above.
717 break;
718 case CSR_SCOUNTEREN:
719 state.scounteren = val;
720 break;
721 case CSR_MCOUNTEREN:
722 state.mcounteren = val;
723 break;
724 case CSR_SSTATUS: {
725 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
726 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR;
727 return set_csr(CSR_MSTATUS, (state.mstatus & ~mask) | (val & mask));
728 }
729 case CSR_SIP: {
730 reg_t mask = MIP_SSIP & state.mideleg;
731 return set_csr(CSR_MIP, (state.mip & ~mask) | (val & mask));
732 }
733 case CSR_SIE:
734 return set_csr(CSR_MIE,
735 (state.mie & ~state.mideleg) | (val & state.mideleg));
736 case CSR_SATP: {
737 mmu->flush_tlb();
738 if (max_xlen == 32)
739 state.satp = val & (SATP32_PPN | SATP32_MODE);
740 if (max_xlen == 64 && (get_field(val, SATP64_MODE) == SATP_MODE_OFF ||
741 get_field(val, SATP64_MODE) == SATP_MODE_SV39 ||
742 get_field(val, SATP64_MODE) == SATP_MODE_SV48))
743 state.satp = val & (SATP64_PPN | SATP64_MODE);
744 break;
745 }
746 case CSR_SEPC: state.sepc = val & ~(reg_t)1; break;
747 case CSR_STVEC: state.stvec = val >> 2 << 2; break;
748 case CSR_SSCRATCH: state.sscratch = val; break;
749 case CSR_SCAUSE: state.scause = val; break;
750 case CSR_STVAL: state.stval = val; break;
751 case CSR_MEPC: state.mepc = val & ~(reg_t)1; break;
752 case CSR_MTVEC: state.mtvec = val & ~(reg_t)2; break;
753 case CSR_MSCRATCH: state.mscratch = val; break;
754 case CSR_MCAUSE: state.mcause = val; break;
755 case CSR_MTVAL: state.mtval = val; break;
756 case CSR_MISA: {
757 // the write is ignored if increasing IALIGN would misalign the PC
758 if (!(val & (1L << ('C' - 'A'))) && (state.pc & 2))
759 break;
760
761 if (!(val & (1L << ('F' - 'A'))))
762 val &= ~(1L << ('D' - 'A'));
763
764 // allow MAFDC bits in MISA to be modified
765 reg_t mask = 0;
766 mask |= 1L << ('M' - 'A');
767 mask |= 1L << ('A' - 'A');
768 mask |= 1L << ('F' - 'A');
769 mask |= 1L << ('D' - 'A');
770 mask |= 1L << ('C' - 'A');
771 mask &= max_isa;
772
773 state.misa = (val & mask) | (state.misa & ~mask);
774 break;
775 }
776 case CSR_TSELECT:
777 if (val < state.num_triggers) {
778 state.tselect = val;
779 }
780 break;
781 case CSR_TDATA1:
782 {
783 mcontrol_t *mc = &state.mcontrol[state.tselect];
784 if (mc->dmode && !state.dcsr.cause) {
785 break;
786 }
787 mc->dmode = get_field(val, MCONTROL_DMODE(xlen));
788 mc->select = get_field(val, MCONTROL_SELECT);
789 mc->timing = get_field(val, MCONTROL_TIMING);
790 mc->action = (mcontrol_action_t) get_field(val, MCONTROL_ACTION);
791 mc->chain = get_field(val, MCONTROL_CHAIN);
792 mc->match = (mcontrol_match_t) get_field(val, MCONTROL_MATCH);
793 mc->m = get_field(val, MCONTROL_M);
794 mc->h = get_field(val, MCONTROL_H);
795 mc->s = get_field(val, MCONTROL_S);
796 mc->u = get_field(val, MCONTROL_U);
797 mc->execute = get_field(val, MCONTROL_EXECUTE);
798 mc->store = get_field(val, MCONTROL_STORE);
799 mc->load = get_field(val, MCONTROL_LOAD);
800 // Assume we're here because of csrw.
801 if (mc->execute)
802 mc->timing = 0;
803 trigger_updated();
804 }
805 break;
806 case CSR_TDATA2:
807 if (state.mcontrol[state.tselect].dmode && !state.dcsr.cause) {
808 break;
809 }
810 if (state.tselect < state.num_triggers) {
811 state.tdata2[state.tselect] = val;
812 }
813 break;
814 case CSR_DCSR:
815 state.dcsr.prv = get_field(val, DCSR_PRV);
816 state.dcsr.step = get_field(val, DCSR_STEP);
817 // TODO: ndreset and fullreset
818 state.dcsr.ebreakm = get_field(val, DCSR_EBREAKM);
819 state.dcsr.ebreakh = get_field(val, DCSR_EBREAKH);
820 state.dcsr.ebreaks = get_field(val, DCSR_EBREAKS);
821 state.dcsr.ebreaku = get_field(val, DCSR_EBREAKU);
822 state.dcsr.halt = get_field(val, DCSR_HALT);
823 break;
824 case CSR_DPC:
825 state.dpc = val & ~(reg_t)1;
826 break;
827 case CSR_DSCRATCH:
828 state.dscratch = val;
829 break;
830 }
831 }
832
833 reg_t processor_t::get_csr(int which)
834 {
835 uint32_t ctr_en = -1;
836 if (state.prv < PRV_M)
837 ctr_en &= state.mcounteren;
838 if (state.prv < PRV_S)
839 ctr_en &= state.scounteren;
840 bool ctr_ok = (ctr_en >> (which & 31)) & 1;
841
842 if (ctr_ok) {
843 if (which >= CSR_HPMCOUNTER3 && which <= CSR_HPMCOUNTER31)
844 return 0;
845 if (xlen == 32 && which >= CSR_HPMCOUNTER3H && which <= CSR_HPMCOUNTER31H)
846 return 0;
847 }
848 if (which >= CSR_MHPMCOUNTER3 && which <= CSR_MHPMCOUNTER31)
849 return 0;
850 if (xlen == 32 && which >= CSR_MHPMCOUNTER3H && which <= CSR_MHPMCOUNTER31H)
851 return 0;
852 if (which >= CSR_MHPMEVENT3 && which <= CSR_MHPMEVENT31)
853 return 0;
854
855 switch (which)
856 {
857 #ifdef SPIKE_SIMPLEV
858 case CSR_USVVL:
859 return state.sv().vl;
860 case CSR_USVCFG:
861 return (state.sv().state_bank) | (state.sv().state_size<<3);
862 case CSR_USVSTATE:
863 return (state.sv().vl-1) | ((state.sv().mvl-1)<<6) |
864 (state.sv().srcoffs<<12) | (state.sv().destoffs<<18) |
865 (state.sv().state_bank<<24) | (state.sv().state_size<<26);
866 case CSR_USVMVL:
867 return state.sv().mvl;
868 case CSR_SVREGCFG0:
869 case CSR_SVREGCFG1:
870 case CSR_SVREGCFG2:
871 case CSR_SVREGCFG3:
872 case CSR_SVREGCFG4:
873 case CSR_SVREGCFG5:
874 case CSR_SVREGCFG6:
875 case CSR_SVREGCFG7:
876 return 0;// XXX TODO: return correct entry
877 case CSR_SVPREDCFG0:
878 case CSR_SVPREDCFG1:
879 case CSR_SVPREDCFG2:
880 case CSR_SVPREDCFG3:
881 case CSR_SVPREDCFG4:
882 case CSR_SVPREDCFG5:
883 case CSR_SVPREDCFG6:
884 case CSR_SVPREDCFG7:
885 return 0;// XXX TODO: return correct entry
886 case CSR_UREMAP:
887 return 0;// XXX TODO: return correct entry
888 case CSR_USHAPE0:
889 case CSR_USHAPE1:
890 case CSR_USHAPE2:
891 return 0;// XXX TODO: return correct entry
892 #endif
893 case CSR_FFLAGS:
894 require_fp;
895 if (!supports_extension('F'))
896 break;
897 return state.fflags;
898 case CSR_FRM:
899 require_fp;
900 if (!supports_extension('F'))
901 break;
902 return state.frm;
903 case CSR_FCSR:
904 require_fp;
905 if (!supports_extension('F'))
906 break;
907 return (state.fflags << FSR_AEXC_SHIFT) | (state.frm << FSR_RD_SHIFT);
908 case CSR_INSTRET:
909 case CSR_CYCLE:
910 if (ctr_ok)
911 return state.minstret;
912 break;
913 case CSR_MINSTRET:
914 case CSR_MCYCLE:
915 return state.minstret;
916 case CSR_INSTRETH:
917 case CSR_CYCLEH:
918 if (ctr_ok && xlen == 32)
919 return state.minstret >> 32;
920 break;
921 case CSR_MINSTRETH:
922 case CSR_MCYCLEH:
923 if (xlen == 32)
924 return state.minstret >> 32;
925 break;
926 case CSR_SCOUNTEREN: return state.scounteren;
927 case CSR_MCOUNTEREN: return state.mcounteren;
928 case CSR_SSTATUS: {
929 reg_t mask = SSTATUS_SIE | SSTATUS_SPIE | SSTATUS_SPP | SSTATUS_FS
930 | SSTATUS_XS | SSTATUS_SUM | SSTATUS_MXR | SSTATUS_UXL;
931 reg_t sstatus = state.mstatus & mask;
932 if ((sstatus & SSTATUS_FS) == SSTATUS_FS ||
933 (sstatus & SSTATUS_XS) == SSTATUS_XS)
934 sstatus |= (xlen == 32 ? SSTATUS32_SD : SSTATUS64_SD);
935 return sstatus;
936 }
937 case CSR_SIP: return state.mip & state.mideleg;
938 case CSR_SIE: return state.mie & state.mideleg;
939 case CSR_SEPC: return state.sepc & pc_alignment_mask();
940 case CSR_STVAL: return state.stval;
941 case CSR_STVEC: return state.stvec;
942 case CSR_SCAUSE:
943 if (max_xlen > xlen)
944 return state.scause | ((state.scause >> (max_xlen-1)) << (xlen-1));
945 return state.scause;
946 case CSR_SATP:
947 if (get_field(state.mstatus, MSTATUS_TVM))
948 require_privilege(PRV_M);
949 return state.satp;
950 case CSR_SSCRATCH: return state.sscratch;
951 case CSR_MSTATUS: return state.mstatus;
952 case CSR_MIP: return state.mip;
953 case CSR_MIE: return state.mie;
954 case CSR_MEPC: return state.mepc & pc_alignment_mask();
955 case CSR_MSCRATCH: return state.mscratch;
956 case CSR_MCAUSE: return state.mcause;
957 case CSR_MTVAL: return state.mtval;
958 case CSR_MISA: return state.misa;
959 case CSR_MARCHID: return 0;
960 case CSR_MIMPID: return 0;
961 case CSR_MVENDORID: return 0;
962 case CSR_MHARTID: return id;
963 case CSR_MTVEC: return state.mtvec;
964 case CSR_MEDELEG: return state.medeleg;
965 case CSR_MIDELEG: return state.mideleg;
966 case CSR_TSELECT: return state.tselect;
967 case CSR_TDATA1:
968 if (state.tselect < state.num_triggers) {
969 reg_t v = 0;
970 mcontrol_t *mc = &state.mcontrol[state.tselect];
971 v = set_field(v, MCONTROL_TYPE(xlen), mc->type);
972 v = set_field(v, MCONTROL_DMODE(xlen), mc->dmode);
973 v = set_field(v, MCONTROL_MASKMAX(xlen), mc->maskmax);
974 v = set_field(v, MCONTROL_SELECT, mc->select);
975 v = set_field(v, MCONTROL_TIMING, mc->timing);
976 v = set_field(v, MCONTROL_ACTION, mc->action);
977 v = set_field(v, MCONTROL_CHAIN, mc->chain);
978 v = set_field(v, MCONTROL_MATCH, mc->match);
979 v = set_field(v, MCONTROL_M, mc->m);
980 v = set_field(v, MCONTROL_H, mc->h);
981 v = set_field(v, MCONTROL_S, mc->s);
982 v = set_field(v, MCONTROL_U, mc->u);
983 v = set_field(v, MCONTROL_EXECUTE, mc->execute);
984 v = set_field(v, MCONTROL_STORE, mc->store);
985 v = set_field(v, MCONTROL_LOAD, mc->load);
986 return v;
987 } else {
988 return 0;
989 }
990 break;
991 case CSR_TDATA2:
992 if (state.tselect < state.num_triggers) {
993 return state.tdata2[state.tselect];
994 } else {
995 return 0;
996 }
997 break;
998 case CSR_TDATA3: return 0;
999 case CSR_DCSR:
1000 {
1001 uint32_t v = 0;
1002 v = set_field(v, DCSR_XDEBUGVER, 1);
1003 v = set_field(v, DCSR_EBREAKM, state.dcsr.ebreakm);
1004 v = set_field(v, DCSR_EBREAKH, state.dcsr.ebreakh);
1005 v = set_field(v, DCSR_EBREAKS, state.dcsr.ebreaks);
1006 v = set_field(v, DCSR_EBREAKU, state.dcsr.ebreaku);
1007 v = set_field(v, DCSR_STOPCYCLE, 0);
1008 v = set_field(v, DCSR_STOPTIME, 0);
1009 v = set_field(v, DCSR_CAUSE, state.dcsr.cause);
1010 v = set_field(v, DCSR_STEP, state.dcsr.step);
1011 v = set_field(v, DCSR_PRV, state.dcsr.prv);
1012 return v;
1013 }
1014 case CSR_DPC:
1015 return state.dpc & pc_alignment_mask();
1016 case CSR_DSCRATCH:
1017 return state.dscratch;
1018 }
1019 throw trap_illegal_instruction(0);
1020 }
1021
1022 reg_t illegal_instruction(processor_t* p, insn_t insn, reg_t pc)
1023 {
1024 throw trap_illegal_instruction(0);
1025 }
1026
1027 insn_func_t processor_t::decode_insn(insn_t insn)
1028 {
1029 // look up opcode in hash table
1030 size_t idx = insn.bits() % OPCODE_CACHE_SIZE;
1031 insn_desc_t desc = opcode_cache[idx];
1032
1033 if (unlikely(insn.bits() != desc.match)) {
1034 // fall back to linear search
1035 insn_desc_t* p = &instructions[0];
1036 while ((insn.bits() & p->mask) != p->match)
1037 p++;
1038 desc = *p;
1039
1040 if (p->mask != 0 && p > &instructions[0]) {
1041 if (p->match != (p-1)->match && p->match != (p+1)->match) {
1042 // move to front of opcode list to reduce miss penalty
1043 while (--p >= &instructions[0])
1044 *(p+1) = *p;
1045 instructions[0] = desc;
1046 }
1047 }
1048
1049 opcode_cache[idx] = desc;
1050 opcode_cache[idx].match = insn.bits();
1051 }
1052
1053 return xlen == 64 ? desc.rv64 : desc.rv32;
1054 }
1055
1056 void processor_t::register_insn(insn_desc_t desc)
1057 {
1058 instructions.push_back(desc);
1059 }
1060
1061 void processor_t::build_opcode_map()
1062 {
1063 struct cmp {
1064 bool operator()(const insn_desc_t& lhs, const insn_desc_t& rhs) {
1065 if (lhs.match == rhs.match)
1066 return lhs.mask > rhs.mask;
1067 return lhs.match > rhs.match;
1068 }
1069 };
1070 std::sort(instructions.begin(), instructions.end(), cmp());
1071
1072 for (size_t i = 0; i < OPCODE_CACHE_SIZE; i++)
1073 opcode_cache[i] = {0, 0, &illegal_instruction, &illegal_instruction};
1074 }
1075
1076 void processor_t::register_extension(extension_t* x)
1077 {
1078 for (auto insn : x->get_instructions())
1079 register_insn(insn);
1080 build_opcode_map();
1081 for (auto disasm_insn : x->get_disasms())
1082 disassembler->add_insn(disasm_insn);
1083 if (ext != NULL)
1084 throw std::logic_error("only one extension may be registered");
1085 ext = x;
1086 x->set_processor(this);
1087 }
1088
1089 void processor_t::register_base_instructions()
1090 {
1091 #define DECLARE_INSN(name, match, mask) \
1092 insn_bits_t name##_match = (match), name##_mask = (mask);
1093 #include "encoding.h"
1094 #undef DECLARE_INSN
1095
1096 #define DEFINE_INSN(name) \
1097 REGISTER_INSN(this, name, name##_match, name##_mask)
1098 #include "insn_list.h"
1099 #undef DEFINE_INSN
1100
1101 register_insn({0, 0, &illegal_instruction, &illegal_instruction});
1102 build_opcode_map();
1103 }
1104
1105 bool processor_t::load(reg_t addr, size_t len, uint8_t* bytes)
1106 {
1107 switch (addr)
1108 {
1109 case 0:
1110 if (len <= 4) {
1111 memset(bytes, 0, len);
1112 bytes[0] = get_field(state.mip, MIP_MSIP);
1113 return true;
1114 }
1115 break;
1116 }
1117
1118 return false;
1119 }
1120
1121 bool processor_t::store(reg_t addr, size_t len, const uint8_t* bytes)
1122 {
1123 switch (addr)
1124 {
1125 case 0:
1126 if (len <= 4) {
1127 state.mip = set_field(state.mip, MIP_MSIP, bytes[0]);
1128 return true;
1129 }
1130 break;
1131 }
1132
1133 return false;
1134 }
1135
1136 void processor_t::trigger_updated()
1137 {
1138 mmu->flush_tlb();
1139 mmu->check_triggers_fetch = false;
1140 mmu->check_triggers_load = false;
1141 mmu->check_triggers_store = false;
1142
1143 for (unsigned i = 0; i < state.num_triggers; i++) {
1144 if (state.mcontrol[i].execute) {
1145 mmu->check_triggers_fetch = true;
1146 }
1147 if (state.mcontrol[i].load) {
1148 mmu->check_triggers_load = true;
1149 }
1150 if (state.mcontrol[i].store) {
1151 mmu->check_triggers_store = true;
1152 }
1153 }
1154 }
1155